在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5984|回复: 24

可重配置新书Reconfigurable System Design and Verification

[复制链接]
发表于 2009-7-18 16:59:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
"Reconfigurable System Design and Verification"
Pao-Ann Hsiung, Marco D. Santambrogio, Chun-Hsian Huang,
CRC | 2009-02-17 | ISBN: 1420062662 | 268 pages |

       Focusing on system-level design and verification techniques, this text allows readers to immediately grasp concepts and put them into practice. It starts with an overview of reconfigurable computing architectures and platforms and demonstrates how to develop reconfigurable systems. This sets up the discussion of the hardware, software, and system techniques that form the core of the text. The authors classify design and verification techniques into primary and secondary categories, allowing the appropriate ones to be easily located and compared. The techniques discussed range from system modeling and system-level design to co-simulation and formal verification. Case studies illustrate real-world applications.

[ 本帖最后由 jacobshen 于 2009-7-18 17:06 编辑 ]
Reconfigurable System Design and Verification.jpg

Reconfigurable System Design and Verification_1420062662.part1.rar

4.77 MB, 下载次数: 177 , 下载积分: 资产 -3 信元, 下载支出 3 信元

Reconfigurable System Design and Verification_1420062662.part2.rar

4.77 MB, 下载次数: 246 , 下载积分: 资产 -3 信元, 下载支出 3 信元

Reconfigurable System Design and Verification_1420062662.part3.rar

4.77 MB, 下载次数: 248 , 下载积分: 资产 -3 信元, 下载支出 3 信元

Reconfigurable System Design and Verification_1420062662.part4.rar

1.15 MB, 下载次数: 162 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-2-1 15:12:46 | 显示全部楼层
!!!!!!!!!!!!!!!!!!!!!!
发表于 2010-2-7 22:25:41 | 显示全部楼层
好书
谢谢!
赫赫
发表于 2010-3-14 05:59:25 | 显示全部楼层
good book~~~thx~~~~
发表于 2010-6-8 11:19:58 | 显示全部楼层
很好的书
发表于 2010-6-8 16:02:51 | 显示全部楼层
不错,感谢分享
发表于 2010-8-17 03:00:48 | 显示全部楼层
5# besxs xiexie
发表于 2010-8-17 03:10:28 | 显示全部楼层
10# enst xiexie
发表于 2010-8-17 03:13:38 | 显示全部楼层
11# enst xiexie
发表于 2011-2-15 15:07:21 | 显示全部楼层
收到,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 23:41 , Processed in 0.055212 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表