在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3043|回复: 17

cordic算法的调制器设计(VHDL)

[复制链接]
发表于 2009-4-29 15:27:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚编的(R用来输入AM信号)(P用来输入FM PM信号)

cordic.rar

624 Bytes, 下载次数: 84 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-4-29 18:03:23 | 显示全部楼层
顶你下!!!!!!!!!!!!!!!!!!!!!!!!!
发表于 2009-11-9 10:49:13 | 显示全部楼层
看看啊 呵呵
发表于 2010-8-5 19:24:10 | 显示全部楼层
谢谢分享
发表于 2010-8-23 12:30:24 | 显示全部楼层
顶你 谢谢你
发表于 2010-8-25 08:57:27 | 显示全部楼层
xie xie fen xiang...
发表于 2010-8-29 16:39:26 | 显示全部楼层
学习一下
发表于 2010-12-14 21:33:57 | 显示全部楼层
VHDL程序,看看
发表于 2010-12-14 23:23:06 | 显示全部楼层
thank you brother.
发表于 2011-1-7 12:15:05 | 显示全部楼层
thanks!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 20:28 , Processed in 0.030233 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表