在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 47600|回复: 292

关于BCD工艺的几篇资料

[复制链接]
发表于 2008-11-14 18:59:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
BCD是一种单片集成工艺技术。1986年由意法半导体(ST)公司率先研制成功,这种技术能够在同一芯片上制作双极管bipolar,CMOS 和DMOS 器件,称为B C D 工艺。

BCD 工艺技术的发展不像标准CMOS 工艺那样,一直遵循Moore 定律向更小线宽、更快的速度方向发展。BCD 工艺朝着三个方向分化发展:高压、高功率、高密度。

BCD工艺集成了Bipolar、CMOS和DMOS器件,综合了高速、强负载驱动能力、集成度高和低功耗的优点,可提高系统性能,具有更好的可靠性。电子产品功能与日俱增,对于电压的变化、电容的保护和电池寿命的延长要求日益重要,而BCD所具备的高速节能的特点满足对高性能模拟/电源管理芯片的工艺需求。华虹NEC总裁刘文韬博士表示,“BCD项目将进一步扩展丰富华虹NEC的工艺线,并且确立公司在模拟/电源管理领域的领先地位。”

现有几篇感觉介绍还不错的资料跟大家共享。

BCD工艺概述.pdf

189.96 KB, 下载次数: 2436 , 下载积分: 资产 -2 信元, 下载支出 2 信元

BCD集成电路技术的研究与进展.pdf

523.03 KB, 下载次数: 1956 , 下载积分: 资产 -2 信元, 下载支出 2 信元

A Study on Process Integration of High Voltage BCDMOS IC.pdf

792.11 KB, 下载次数: 1880 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Study on Process Integration of High-Voltage BCDMOS IC.pdf

259.38 KB, 下载次数: 1403 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2008-11-14 19:12:50 | 显示全部楼层
再加上两篇。

Roadmap Differentiation and Emerging Trends in BCD Technology.pdf

779.99 KB, 下载次数: 980 , 下载积分: 资产 -2 信元, 下载支出 2 信元

Trend and Issues in BCD Smart Power Technologies .pdf

564.59 KB, 下载次数: 1050 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2008-11-22 09:29:11 | 显示全部楼层
工艺很强大。文档还不错!
发表于 2008-11-22 17:38:57 | 显示全部楼层
电源方向的好资料!
发表于 2008-11-23 21:33:27 | 显示全部楼层
why not package,just for point?
发表于 2008-11-23 21:34:47 | 显示全部楼层
ok,i want
发表于 2008-11-23 21:36:53 | 显示全部楼层
i want to download
发表于 2008-11-23 21:38:27 | 显示全部楼层
3 left
发表于 2008-11-23 21:41:04 | 显示全部楼层
two left
发表于 2008-11-23 21:44:36 | 显示全部楼层
bu cuo de wen dang
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 04:38 , Processed in 0.027308 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表