在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[讨论] EDA工具梳理(持续更新中) digest agree  ...23456 johnny1209 2012-3-11 5435314 zhizizhishou 2023-10-31 15:34
[原创] 【不忘出芯】一起学Verilog 新人帖  ...2 ic7x24 2019-4-29 188661 User0797 2023-10-31 15:04
[求助] 如何在Verdi中快速定位到一个随机信号的第N个上升沿? elec_zxm 2012-12-25 74502 BellaYang 2023-10-31 14:02
[求助] dc-path是什么 xiuxing056 2021-2-4 31417 飘飘出尘 2023-10-31 10:33
[原创] Malogic FPGA及CY7C68000 PCB 做了防盗版处理 dodoee 2023-10-31 0318 dodoee 2023-10-31 08:27
[求助] smic的memory输出Q端一直为x态,然后QP端口一直为z态 SGengiant 2023-10-26 2334 SGengiant 2023-10-30 16:57
[原创] 请问今天刚下载的这个解压密码是什么谢谢各位大佬 新人帖 zl_microElec 2023-10-28 2480 myl 2023-10-29 16:45
[求助] []中放变量的情况 attach_img 花伴星空 2023-10-27 1430 JustdoitAbel 2023-10-28 17:13
[原创] 内推内推,数字前端 gerry1812 2023-7-9 3835 gerry1812 2023-10-28 16:54
[求助] DIFF ROM 如果更新里面的程序内容, 需要改几层mask 8i8i9o9o 2023-10-27 2362 8i8i9o9o 2023-10-27 23:16
[求助] Ubuntu下VCS和Questasim密码失效问题 新人帖 Ladd7 2023-10-27 1397 Ladd7 2023-10-27 22:25
[求助] 如何把HEX程序文件变成ROM, 最后生成GDS文件? 8i8i9o9o 2023-10-16 6544 haydnzhang 2023-10-27 17:50
[求助] modelsim启动后弹窗:Error in startup script 新人帖 廖甲申科 2023-10-24 1307 weiyishh 2023-10-27 17:10
[原创] 工作10年的工程师的心得 digest  ...23456..60 dodoee 2009-11-12 597120120 李婷婷 2023-10-27 09:27
[转帖]Synopsys工具介绍  ...23456..7 一声叹息 2004-9-21 6525447 MARKcz 2023-10-27 09:09
悬赏 [求助] vcs 警告 egrep obsolescent 新人帖 - [已解决] smhr 2023-10-24 2386 smhr 2023-10-27 07:36
[求助] Perl在IC设计中有什么应用?  ...2 devindang 2023-5-25 131838 thjan65 2023-10-26 23:17
[求助] 使用modelsim不弹出波形图 新人帖 attach_img 无以致上 2023-10-26 0351 无以致上 2023-10-26 22:32
[讨论] 工程师心得--数字电路的实现 digest agree  ...2 deltacom 2014-1-3 1810658 Hyhpei 2023-10-26 18:14
[讨论] spyglass的警告 attach_img scutlee 2023-10-24 3386 liuguangxi 2023-10-26 16:55
[原创] 两个剧牛的数字电路——异步时钟切换和倍频 attachment digest  ...23456..35 wice3 2007-1-25 344136072 lazawei 2023-10-26 15:39
[求助] vivado仿真命令 nullptr 2023-10-26 0318 nullptr 2023-10-26 14:44
[求助] Verilog 描述电路的时候,寄存器的时钟端口可以接非时钟信号吗? 新人帖 Wade_ 2023-10-17 7461 kejiazhu 2023-10-26 11:41
[转贴] New MentorKG EFA 13.6.15 - 2019, 2012, 2010, 2009, 2008 - Install all the Mentor products you need attachment  ...23456 ricvadim 2020-3-8 5814606 ysjlew 2023-10-26 11:34
[转贴] DC综合动态功耗优化之read saif nirvana_pear 2023-10-26 0305 nirvana_pear 2023-10-26 10:05
[求助] verilog中if else和case语句有什么区别? attachment  ...23456..7 MohanGrace 2013-4-26 6342886 yasimi 2023-10-26 09:34
[求助] Dw_div_pipe DesignWare 时序问题 新人帖 Cody_666666 2023-10-21 3408 Cody_666666 2023-10-26 08:46
[求助] 请教寄存器配置的问题 Carl_0522 2023-10-22 5515 Carl_0522 2023-10-25 11:21
[求助] set_operating_condition的max/min怎么指定? Rita_Jia 2023-10-24 1349 zero_0 2023-10-25 09:18
悬赏 [求助] Formality 2017以上版本下载求助 - [已解决] 8i8i9o9o 2023-10-23 3380 8i8i9o9o 2023-10-25 04:27
[求助] 有偿求Xilinx Foundation 3 attachment 441307320 2015-10-27 62002 msqxl 2023-10-24 19:00
[求助] 数字前段设计需要学脚本语言吗?  ...23 109010118 2012-11-14 2912029 Lotusy 2023-10-24 17:43
[求助] dc_shell 执行link后, Can't read in the DB file 新人帖 追驰Chasing 2023-8-8 4590 Lotusy 2023-10-24 17:42
[求助] RGMII接口上的载波扩展(carrier extend)何时发送 挂在天边的鱼 2014-11-6 33263 flymoli 2023-10-24 17:38
悬赏 [求助] 综合实现算IC设计还是物理实现? - [悬赏 10 信元资产] YIGANDAHEIQIANG 2023-10-6 1427 Lotusy 2023-10-24 17:34
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 21:33 , Processed in 0.125212 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块