在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (138) |订阅

数字IC设计讨论(IC前端|FPGA|ASIC) 今日: 14 |主题: 30079|排名: 5 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4620516 masmeq 昨天 16:03
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 01976 jackzhang 2024-4-11 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 010365 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 611638 sutaotao2001 2024-2-17 13:03
  版块主题   
[求助] ise综合的时候出现了问题(求助)  ...2 cleocss 2010-9-5 1415524 cyt66 26 分钟前
[讨论] 可以直接#10ps 这样吗 New scutlee 1 小时前 164 zsftm 1 小时前
[求助] DC和PT中的时序约束是同一个吗?  ...2 flyingsheep 2013-3-25 1814519 方山剑客 3 小时前
[求助] 有人用过Synopsys Embedit Integrator吗? attach_img  ...2 i_g 2020-7-2 146527 tvs 4 小时前
[求助] exciting host 如何理解? New yuanpin318 4 小时前 044 yuanpin318 4 小时前
悬赏 [原创] 集成电路静态时序分析与建模-刘峰 - [悬赏 2 信元资产] attachment  ...23456 songsong001 2021-5-10 5912105 yuanpin318 5 小时前
投票 [讨论] 作为IC设计的你,实际coding的时间占你工作时间的多少?  ...2 Mr.F_zyd 2023-10-18 153356 weimej33 5 小时前
[求助] 始终信号能接入到寄存器的D口做逻辑使用吗? attach_img New Wade_ 昨天 16:40 289 Wade_ 5 小时前
[讨论] 假如芯片只有一个PLL,又要做clock gate scutlee 2024-4-15 6290 scutlee 6 小时前
投票 [调查] FPGA/数字IC从业人员大家更倾向于定居哪个城市  ...234 senasdf 2022-7-19 3313913 binnq 6 小时前
[原创] PCIE attachment New want2019 昨天 22:38 1155 jiangnaner 7 小时前
[资料] c家数字DDI22.12 attachment  ...23 kk2009 2023-5-26 292940 kk2009 14 小时前
[求助] 静态时序检查函数$skew的输入Limit在不同仿真平台有何限制要求 新人帖 zhangyu_sh 2024-4-2 3174 binnq 昨天 17:51
[原创] low power RTL 设计优化 attach_img digest  ...23456..30 yaya126 2017-9-9 296126022 binnq 昨天 17:36
[资料] Verilog超详细教程 attachment  ...23456..32 zxopenwgb 2016-9-18 31870674 binnq 昨天 17:34
投票 [调查] 平时在工程设计中 Xilinx的FPGA 常用,还是使用 Altera的FPGA 常用?  ...23456..25 hongbutiao 2012-11-27 248106201 quakewangtao 昨天 17:26
投票 [统计] 你是用VHDL还是用Verilog HDL?  ...23456..88 buley 2011-9-8 878192857 binnq 昨天 17:26
[资料] 分享Cadence XCELIUMMAIN_18.03.001  ...23456..12 504472832 2018-4-16 11045724 binnq 昨天 17:24
投票 [讨论] 对于1bit信号,例如复位信号取反,使用 ~ 还是 !  ...2345 PayPal 2022-7-22 478547 yuanpin318 昨天 17:23
[求助] verilog中使用task出现的问题 attach_img  ...2 hxfwdzx 2012-1-3 1317968 binnq 昨天 17:19
[求助] MemoryCompiler Lincese识别不到, 输出错误 attach_img mmo 2024-1-24 4500 binnq 昨天 17:10
[求助] 小数分频锁相环量化噪声问题求助 yishuibihan 2020-11-23 82872 binnq 昨天 17:09
[求助] vcs 同步时钟采样问题-deraceclockdata没有用 xingerzh 2023-10-4 7635 binnq 昨天 17:01
[求助] SMIC12nm 的memory compiler 如何使用 attach_img  ...23 yue6688 2021-2-18 288957 binnq 昨天 17:00
[原创] 写了个25g 以太网的fec rs(528,514)  ...2 jennytanxx 2022-8-23 102179 wwf_fan 昨天 11:51
[原创] PCIE x4 Gen2 高速数据传输, 包括所有源代码,驱动和PC端程序 attach_img digest agree  ...23456..309 gvi-tech 2015-12-21 3083303186 Tommy_gyl 昨天 11:45
[讨论] 异步复位同步释放和软复位 scutlee 2024-4-15 3171 scutlee 昨天 11:11
[讨论] 什么情况下可以不用复位同步释放 New scutlee 前天 15:11 288 yuanpin318 昨天 10:54
[资料] LEDA培训讲义 attachment  ...2 低空翾翔 2016-10-7 145718 yuanpin318 昨天 10:52
[资料] uvm验证课程  ...234 fool123 2019-11-14 308827 ZQDN4 昨天 10:48
投票 [调查] 数字IC设计硕士年收入起薪调查,自2020年起~ attach_img  ...23456..7 杰克 2021-7-10 6432939 wangchenglong 昨天 10:47
[原创] 请教大家,vcs仿真时间如何设置 ? american007 2023-5-8 61787 树欲静 昨天 10:39
[讨论] 访问DDR如果要跨越4K地址呢 scutlee 2024-3-27 2197 yuanpin318 昨天 10:38
[求助] 哪位大佬哥分享一下,我们一般做MCU芯片里面的Flash 模块/IP的资料 New BRN 前天 16:24 188 深圳华芯 昨天 10:31
[求助] VC Verification IP for SPI的Datasheet New Ellis 前天 22:12 090 Ellis 前天 22:12
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 15:29 , Processed in 0.024604 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块