在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 原创-Verilog极简教程,附送源码和可执行环境 ic7x24 2018-4-4 52684 knightliu 2024-3-1 11:01
[求助] 怎么用ASIC硬件来实现这个? attach_img orientview 2024-2-27 4498 kk2009 2024-3-1 02:08
[求助] ICC route之后verify_lvs报错floatng及短路问题 attach_img liuqlh 2024-2-29 1165 liuqlh 2024-2-29 20:53
[原创] R8051XC2的OCDS调试接口 attach_img  ...2 McuPlayer2013 2016-10-16 1910520 McuPlayer2013 2024-2-29 18:03
[求助] ICC报错 新人帖 liuqlh 2024-1-9 2333 liuqlh 2024-2-29 17:49
[求助] JTAG无法连接R8051XC2-B attach_img welco 2020-12-24 21571 McuPlayer2013 2024-2-29 17:36
[求助] vcs 同步时钟采样问题-deraceclockdata没有用 xingerzh 2023-10-4 1559 yangq716 2024-2-29 17:15
[活动] 【更新,参加7月期】基于Xilinx Spartan-3E的真随机数发生器的设计 attach_img digest  ...2345 huiyuanai3 2012-6-25 4219254 Markmiao4 2024-2-29 17:08
[求助] cortex-m0 mcu低功耗降低系统时钟频率的问题 新人帖 MLX010114 2023-4-8 1935 McuPlayer2013 2024-2-29 16:20
悬赏 [求助] 谁有IEEE802.15.4z的标准 - [已解决] attachment kk2009 2021-10-12 93251 猫猫猫 2024-2-29 16:19
[求助] 求一个vivado的IEEE1735 v2 encryption license  ...23 yangyuf 2017-10-14 229601 lxtx603 2024-2-29 15:22
[求助] max_transition yuanpin318 2024-2-23 6378 geek86 2024-2-29 09:48
[求助] Cortex M0+进入WFI后,可以关闭u_core,u_matrix的电源吗? 多线程维生素 2024-2-28 1228 多线程维生素 2024-2-28 21:30
[求助] DC综合时max transition违例 新人帖 attach_img madanjie 2024-2-28 1286 modoalos 2024-2-28 21:12
[求助] 关于dc综合后时序报告的一些疑惑 打不出空白 2024-1-10 7474 robin0612 2024-2-28 17:00
悬赏 [求助] 多阈值电压设计为什么一般放后端做呢? - [悬赏 100 信元资产] ZTQNB 2024-1-28 4517 robin0612 2024-2-28 16:57
[求助] 怎么把要复用的小模块弄成库呢 kyaaaa 2024-2-28 0291 kyaaaa 2024-2-28 09:17
[求助] 求IEEEpaper about I3C attachment kk2009 2024-2-27 4408 kk2009 2024-2-28 02:15
[资料] tanner集成电路与设计教程(第二册) attachment  ...2 lideli5 2012-7-23 174865 rsmicro 2024-2-28 01:21
Verilog-A的模拟电路行为模型及仿真 attachment  ...23 wapoca 2009-8-30 2210479 jihuang0212 2024-2-27 16:31
[求助] 新人求助FIR插值滤波器的Verilog代码 dyczs 2023-6-13 4716 liu666+ 2024-2-27 16:19
[解决] 如何在vcs文件中产生.vcd格式的文件  ...2 wu_xiaolin_110 2010-10-25 1012349 Qrevlover 2024-2-27 15:04
[求助] 求stm32f413 中DMA2D 的源码, lewis.l.l 2024-2-27 0214 lewis.l.l 2024-2-27 13:54
[讨论] 关于CIC滤波器溢出处理  ...2 挂在天边的鱼 2014-6-24 1512581 ZJU_emi 2024-2-27 11:24
[讨论] 一组信号同时跨时钟或者一组信号连到io pad scutlee 2024-2-23 1195 janetchin 2024-2-26 16:23
悬赏 [求助] CIC滤波器截位问题 - [悬赏 500 信元资产] ZJU_emi 2024-2-26 0405 ZJU_emi 2024-2-26 15:38
[求助] 关于swd如何访问soc外设寄存器的问题 sducut 2024-2-23 3284 daxueliujisheng 2024-2-26 11:04
[求助] design compiler如何跑多个corner的综合? Paradicat 2023-9-15 1465 shdxqj2009 2024-2-26 09:49
[求助] design compiler相关问题 attach_img 羽蛇神 2020-9-15 72080 jyyuan 2024-2-25 11:06
[求助] 求一份Spyglass CDC rule reference Guide。万分感谢 attach_img  ...2 入迷 2022-8-19 194620 我要去NV數位 2024-2-25 11:06
[资料] 时间数字转换器(TDC)相关资料存储 attachment  ...2345 清水潇湘 2016-8-22 4312112 vickyhit 2024-2-24 13:52
[讨论] 免费EDA工具,免安装,仅限学习目的使用 attachment  ...23456..8 iNostory 2018-10-3 7834212 执笔待天明 2024-2-23 19:08
[求助] DC逻辑综合时候怎么保留hierarchy,不让工具给flatten了 新人帖 attach_img  ...2 east1203 2019-5-6 105913 HerrXie 2024-2-23 16:19
投票 [求助] 该学哪门语言?SystemVerilog VS SystemC  ...23456..26 albe2t 2011-11-22 255130435 yuanpin318 2024-2-23 16:00
[原创] diamond 破解工具 tian10 2024-2-21 1267 xie402050431 2024-2-23 14:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:28 , Processed in 0.017850 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块