在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 模块使能信号的作用 Wade_ 2024-2-18 2290 Wade_ 2024-2-18 16:22
悬赏 [求助] ARM-SOCRATES 中Nic400配置的问题 - [悬赏 500 信元资产] attach_img Liang_Xiaoqian 2024-1-30 2381 Liang_Xiaoqian 2024-2-18 14:02
[资料] 【分享电子书】新一代通用视频编码H.266VVC:原理、标准与实现 attachment  ...2 zywttt 2024-1-26 13466 wang1051005157 2024-2-18 10:44
[求助] 求IEEE paper attachment kk2009 2024-2-7 6360 九尾龟 2024-2-17 22:17
[求助] 请教一下一些存储器内部的寄存器作用 xiaokai66 2024-2-2 4316 xiaokai66 2024-2-17 14:53
[原创] 据说Bluespec比Chisel,更加优秀,是真的吗? orientview 2022-5-14 52337 abeey 2024-2-16 11:02
[求助] 时钟端口也能添加input_delay吗? 日晨难再 2024-2-15 0302 日晨难再 2024-2-15 16:59
[讨论] 关于upf设计中的电源域切换 yex 2024-2-2 2309 kk2009 2024-2-15 08:33
[求助] lib库中的slew_derate_from_library究竟影响了什么? 日晨难再 2024-2-9 0248 日晨难再 2024-2-9 16:19
[求助] 请问formality可以做RTL-RTL的验证吗? irun2 2013-7-3 61856 smc1017 2024-2-7 18:16
[求助] Verilog初学,新人求助 attach_img 2558966080 2024-2-3 8472 767781537 2024-2-7 16:17
[求助] 浮点数算法逼近问题 xbllzf 2024-2-2 4366 xbllzf 2024-2-5 16:29
[求助] VHDL可以直接定义浮点数或定点小数?verilog不行吧? haxy 2016-3-29 46921 andy2000a 2024-2-5 15:45
悬赏 [求助] windows下的vivado可以和vcs联合吗 - [已解决] 不伤人的地雷 2024-1-8 5398 jjm_997 2024-2-5 09:44
[资料] matlab HDLcoder attachment  ...2 iNostory 2018-5-5 102688 zzp6682 2024-2-4 15:20
[求助] 电子烟芯片数字设计 lyoureye 2024-2-2 2482 leeguoxian 2024-2-4 13:41
[求助] 请教一下,verilog的头文件何时用? german010 2024-2-2 5402 uiwjyb 2024-2-4 11:28
[原创] nvme ip RTL源码  ...23456..20 1014003606 2018-3-8 19035381 gubels 2024-2-4 10:34
[求助] 求emmc 4.41的verilog model!!!!!! shuli198349 2012-4-6 85769 ing句号 2024-2-4 10:26
[求助] 关于VCS仿真mig_7series DDR3 controller IP错误的问题求教 attach_img lijias20 2020-8-6 73476 Mouzx 2024-2-4 10:15
[求助] 关于编译VCS pli.a的问题 许learner 2024-2-2 1214 speedzheng23 2024-2-4 07:32
[资料] 现代处理器设计——超标量处理器基础 中文pdf下载 新人帖 attach_img ewain 2024-2-1 8374 adefan 2024-2-3 05:45
[求助] Verilog初学,求助 attach_img 2558966080 2024-1-29 6391 2558966080 2024-2-3 01:22
悬赏 [求助] 数字设计中定点小数的表示和存储 - [已解决] GloriaMoran 2024-2-1 3439 new_fast 2024-2-2 15:21
[求助] Verdi使用 Blue_IC 2024-2-1 2273 Blue_IC 2024-2-2 10:06
[求助] FPGA IP change 新人帖 耳_东_陈 2024-1-28 2374 耳_东_陈 2024-2-2 10:01
保持时间为负怎么处理?  ...23456 landyw 2004-9-7 5020693 xuzailong 2024-2-1 16:54
[求助] 求助一下大家关于VC LP工具的使用问题 attach_img 创芯101 2024-1-29 2255 创芯101 2024-2-1 14:27
[原创] wifi6  ...2 qianqian123 2024-1-15 10634 binnq 2024-2-1 14:00
[原创] Xilinx FPGA NVMe主机控制器IP,高性能版本 attach_img axpro 2024-2-1 1260 murphy522 2024-2-1 13:58
[求助] 异步复位同步释放的亚稳态问题 attach_img  ...2 Arthurjlin 2020-12-10 175268 binnq 2024-2-1 11:51
[原创] [原创] USB3.0软核,打破Xilinx 无USB3.0不足限制  ...2 TimChern 2022-6-16 142255 binnq 2024-2-1 11:48
悬赏 [求助] Verilog加密后的VP文件如何解密 - [悬赏 100 信元资产]  ...2 buzhou2006 2020-7-14 178167 murphy522 2024-2-1 09:47
[讨论] 想讨论下PCIe传输的问题 yif1878 2024-1-31 1260 murphy522 2024-2-1 09:38
[求助] the register clock path is multi-driven ? attach_img macherie 2022-7-29 11330 zero_ 2024-1-31 13:35
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 18:52 , Processed in 0.017218 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块