在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[解决] ASIC 除法怎么实现 asic-CQU 2015-1-20 73981 badegg9 2022-5-26 18:27
[讨论] retention register疑问 xiuxing056 2020-9-22 82581 Roysunal 2022-5-26 14:56
[求助] LCD controller和keyscan,这些基础性的外设IP orientview 2022-5-26 0908 orientview 2022-5-26 10:09
悬赏 [原创] vcs仿真vhdl的dump波形的问题 - [已解决] attach_img 赵旭东 2022-5-24 41333 赵旭东 2022-5-25 19:55
[讨论] verilog例化的时候使用M1 U_M1(.*);这是自动匹配吗? qizhrj 2022-5-25 11434 qizhrj 2022-5-25 18:48
悬赏 [求助] DC功耗仿真求助!!!!! - [悬赏 20 信元资产] icdog 2022-5-24 11423 icdog 2022-5-25 09:40
[求助] smic0.11 DC综合后结果诡异???...... 新人帖 attach_img icdog 2022-5-24 21204 icdog 2022-5-24 14:31
[原创] 跨时钟域数据传递? american007 2022-5-2 72136 american007 2022-5-24 04:56
[原创] 请教vhdl里面的sll的用法? american007 2022-5-20 21457 american007 2022-5-23 22:06
[求助] hvt rvt 综合问题 新人帖 kirin9527 2022-5-23 01260 kirin9527 2022-5-23 11:08
[原创] jpeg压缩源码 春野雪 2020-12-22 32184 magicwind 2022-5-22 08:03
[求助] VGA接口RGB通道和HS/VS信号之间skew求助 gechangkuan 2022-5-19 81660 gechangkuan 2022-5-21 17:18
[求助] vcs的小问题 nullptr 2022-5-20 01278 nullptr 2022-5-20 18:19
悬赏 [求助] wu 新人帖 - [悬赏 50 信元资产] strongleg 2022-5-19 01662 strongleg 2022-5-19 20:36
[求助] Design Compiler Xilinx IP 新人帖 haha324 2022-5-14 21367 Love24 2022-5-19 15:04
[求助] 器件原理请教 attach_img 爱上层楼 2022-5-16 61617 janlesion 2022-5-19 09:29
[求助] vcs能否仿真windows 下 vivado 的 ip 核 feifan1996 2020-12-4 62636 ayamitek 2022-5-19 09:17
[讨论] SD Host Controller v2.0中的“SDCD#”信号来源 attachment Topiler 2022-5-17 61564 ayamitek 2022-5-19 09:13
[资料] SD卡物理层协议 attachment wangque1020 2022-5-18 11755 ayamitek 2022-5-19 09:12
[原创] 龙芯架构参考手册:基础架构 attachment NeverLazyThu 2022-4-10 92140 ayamitek 2022-5-19 09:07
[原创] 芯片APR工程师,是什么啊? orientview 2022-5-18 61441 OLED721 2022-5-18 19:10
[求助] netlist转换成rtl的问题 zqlv 2022-5-18 01525 zqlv 2022-5-18 17:31
[求助] 累加器怎么用流水线实现呢? attach_img come_on_sn 2022-5-17 31421 innovation 2022-5-18 15:38
[求助] 求讲解USB 3.0的中文书籍 dqwuf2008 2022-4-21 31374 wangque1020 2022-5-17 23:32
[求助] 问一个FF recovery的问题 wangyangcha 2022-5-16 31366 janlesion 2022-5-17 11:49
[求助] 求教能否在vivado里将dcp封装成IP 雪若寒 2022-5-17 01015 雪若寒 2022-5-17 11:15
[讨论] 关于Xilinx DDR IP的一些疑问 attach_img yuanqidefeng 2022-5-10 61568 wangque1020 2022-5-17 10:51
[求助] 求助,已完结 新人帖 小兔子宝宝哒 2022-5-17 01461 小兔子宝宝哒 2022-5-17 10:31
[求助] 综合时clk经过pad后需不需要重新create_generate_clock? attach_img zhang113 2020-10-13 62050 zhang113 2022-5-16 20:52
[求助] 求spyglass CDC 脚本一个 chenhee_ge 2019-8-12 33524 suma5213 2022-5-16 16:37
[资料] 两本书Broadband Circuits for Optical Fiber Communicat &optical-communication-receiver-design_compress attachment FTFCE 2022-5-14 81683 lans0625 2022-5-16 12:45
[求助] dvt 18.40版本下载 iknowzxc 2020-4-18 63151 cnjsdfcy 2022-5-15 21:11
[原创] iis接口程序代码 新人帖 attachment renmin6688 2022-5-14 11479 student321 2022-5-15 08:11
[求助] 自己写的uart模块和主机通信的速率最高只能到400多K attach_img  ...23 come_on_sn 2022-5-7 243606 innovation 2022-5-15 00:16
[求助] 跨时钟域处理在数字系统设计中的重要性 attachment come_on_sn 2022-5-13 81713 come_on_sn 2022-5-14 22:18
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 04:58 , Processed in 0.073380 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块