在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (124) |订阅

后端资料区 今日: 18 |主题: 5679|排名: 7 

1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4518672 vasya 前天 15:58
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 01327 jackzhang 2024-4-11 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 09821 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 611050 sutaotao2001 2024-2-17 13:03
本版置顶 隐藏置顶帖 [资料] 我的文档(后端设计相关文档、资料、工具等内容) attachment digest  ...23456..133 scuay 2012-8-23 1324185909 gying 2024-4-3 08:22
  版块主题   
[资料] physical design complete attachment  ...234 sonidaman 2013-7-30 328332 pradeepmadhava1 半小时前
[资料] Innovus User Guide Product Version 23.10 attachment New zpofrp 5 天前 5127 闲人过客 1 小时前
[资料] 【2022年静态时序分析中文版】IC芯片设计中的静态时序分析实践.pdf attach_img  ...23456..7 邝卓宇 2024-1-1 632268 闲人过客 2 小时前
[原创] PLL5GHz N16 ipseller 2023-11-26 1375 zhanweisu33 4 小时前
[资料] 简单的verilog程序测试,实现流水等功能。 attachment zhuzhiqi 2013-10-21 11852 ic886 6 小时前
[求助] TSMC 40nm design rule 新人帖 attachment  ...234 chmod 2021-12-7 388128 ilxsh 6 小时前
[资料] dwc_SerDes 集合  ...23456..12 qtds1258 2022-7-25 1115608 ilxsh 6 小时前
[原创] 56G SERDES 数据表 attachment  ...23456..35 kahboone 2022-6-18 34116073 ilxsh 6 小时前
[原创] 56G_SERDES 密码  ...23456..28 kahboone 2022-6-20 27413675 ilxsh 6 小时前
DSP芯片的DC综合脚本 attachment  ...23456..7 hitten 2009-9-19 619989 ilxsh 8 小时前
[资料] 一个非常有用的DC脚本 attachment  ...23456..11 prolity 2010-11-21 10327411 ilxsh 8 小时前
[资料] Synopsys Design Compiler Chip Synthesis Workshop, Student Guide Slides, 2019 attachment  ...2 New AndyBrown 5 天前 11317 yuanpin318 8 小时前
[原创] s+ 官网DC 参考脚本 新人帖 attachment  ...23 guokaile0529 2021-8-6 202824 ilxsh 11 小时前
复旦微电子 ASIC逻辑综合及Synopsys DC 教程 attachment  ...23456..59 chris21st 2007-11-28 58859598 ilxsh 11 小时前
[资料] DC脚本 attachment zhucehuaqianma 2021-4-11 71889 ilxsh 12 小时前
[资料] T$28 & S$ 40 PDK 便宜出 新人帖 New liaojing 昨天 22:10 0104 liaojing 昨天 22:10
[资料] 数字前端 后端视频 DFT 网课视频数字IC,数字模拟  ...23456..55 ioll 2020-2-28 54752644 CR7LIN 昨天 21:23
[资料] Primetime的一些资料PT STA资料 attachment  ...23456..13 xiaohe8696 2017-4-5 12126702 zzhito 昨天 20:40
[原创] DDR5/DDR4/MIPI-CSI-2 HOST CONTROLLER/Ethernet PHY(12G to 56G) SARDES/PCIE/HDMI/ADC/DAC/PLL/MIPI/MPPHY/USB  ...23456..32 iamtony 2022-1-23 31316043 eye2me 昨天 15:33
[资料] PCI Express Controller User Guide.2021.12(5.97a) attach_img  ...23 qtds1258 2022-7-26 253091 eye2me 昨天 15:32
[原创] Milkyway生成map脚本文件 lef_layer_tf_number_mapper.pl attach_img  ...234 jiull 2017-6-2 3316462 dongdaibuchiyu 昨天 15:21
[资料] 16G SERDES attach_img  ...23456..18 kahboone 2022-6-6 17115684 eye2me 昨天 15:05
[资料] 《TCL中文教程》&《using TCL with synopsys tools》--好东西看了就知道 attachment  ...23456..23 huoxingst 2013-5-9 22548217 WoodLi 昨天 14:58
[资料] DesignWare CoresLPDDR4 multiPHY V2 InterconnectSignal and Power Integrity  ...2345 qtds1258 2022-7-25 433788 YJ1111 昨天 14:40
[资料] 工艺库文件大全 attach_img  ...23456..29 南屏旧桥 2020-4-28 28640777 asdsda 昨天 13:39
[资料] The Art of Timing Closure: Advanced ASIC Design Implementation attachment  ...2 落寞看客 2024-3-11 15472 andy2000a 昨天 12:33
Jitter analysis attachment  ...2 wlyneuq 2008-12-29 122373 tracy6969 昨天 11:56
[资料] CTS Debug in ICC II  ...234 qtds1258 2022-11-10 373796 author_ssrs1.0 昨天 11:51
[资料] Training Course of Design compiler (英文,很实用) attachment  ...2345 chj88 2016-1-1 499609 qsh123_123 昨天 11:37
[资料] 2016年的ICC和PT的user guide、命令手册、变量手册 attachment  ...23456..13 iamzhangqi 2017-7-9 12526946 uskan 昨天 11:17
[资料] 低功耗资料哦 attachment  ...2 aoooo 2019-11-7 123412 Lavender888 昨天 09:47
[资料] ICC2 block级中文教学视频 attach_img  ...23456..28 奋进2020 2021-12-2 27221879 xiexie57 昨天 09:39
[资料] CIC_DFT培训资料(使用DFT Compiler and TetraMax) attachment  ...23456..19 strivenbu 2010-10-14 18129826 darlingwqm 昨天 09:33
[求助] 关于整合版的tetramax的使用方法 attach_img  ...2 398144753 2014-12-14 188386 darlingwqm 昨天 09:31
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 22:10 , Processed in 0.016654 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块