在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[资料] TCL资料共享,Tcl/Tk入门经典,ActiveState TCL 8.6.4 attachment  ...234 老阮 2015-8-13 378447 ailiangchan 2024-1-19 23:14
[资料] HLS相关资料合集 attach_img  ...23456..26 suisuisi 2020-2-3 25345552 kkchao 2024-1-19 18:20
[资料] 【强烈推荐】跟Xilinx SAE 学HLS系列视频讲座-跟我学HLS  ...23456..12 suisuisi 2020-4-26 11620232 TXY_MESSI 2024-1-19 18:01
Altera训练课程教材  ...23 tcyhx 2005-11-21 215497 windwolf2000 2024-1-19 17:40
[资料] 经典教材-数字电路设计电子书和ppt(北大,北航等,清晰版,蛮全的,强烈推荐) attachment  ...23456..20 xp05041188 2012-1-6 19849706 品博锦取_2021 2024-1-19 15:24
[资料] uart_rc_sdram_dma verilog source 代码 下载 attachment  ...234 nazhaahai 2012-6-18 315716 hhxx1996 2024-1-19 11:46
[资料] CCIX™概述 中文版 下载 学习 新人帖 attachment cchhrr 2023-7-20 7623 苍穹521earth 2024-1-19 11:28
[资料] 一些Cordic算法相关的硕博论文 attachment liguchu 2024-1-19 1219 Quinlan 2024-1-19 11:19
[资料] CCIX协议标准下载(论坛首发!) attach_img  ...23456..7 固执的寻觅 2021-1-30 6611614 苍穹521earth 2024-1-19 11:16
[原创] dma技术的工作原理分析 attach_img Cazoky 2021-10-26 31750 hhxx1996 2024-1-19 10:16
[资料] Xilinx FPGA权威设计指南 Vivado 2014集成开发环境 attachment  ...23456..28 Paper_Lew 2016-6-8 27844186 潛龍勿用 2024-1-19 09:08
[资料] Synopsys resources: How Are Clock Gating Checks Inferred attachment  ...23456..7 CMOS3511 2012-6-3 6923016 潛龍勿用 2024-1-19 09:05
[原创] SEMICONDUCTOR MEMORIES_1 attach_img zhimigan 2022-1-6 31396 birdhappy 2024-1-19 00:11
[资料] SDRAM原理(强烈推荐) attachment  ...2 Jeason_drc 2021-4-20 193632 birdhappy 2024-1-18 23:45
悬赏 [求助] 求最新版的DDR5 SPEC JESD79-5B 新人帖 - [悬赏 100 信元资产] 郫县济公 2023-6-2 61621 birdhappy 2024-1-18 22:33
[资料] syn_2015.06-SP4 attachment  ...23 zzhan123 2016-1-12 204084 birdhappy 2024-1-18 22:29
[原创] 急需FPGA原型验证 微电子猎头 2024-1-11 1305 tengjiexx 2024-1-18 20:44
悬赏 [求助] 寻求HAPS-80相关资料 - [悬赏 2000 信元资产] tengjiexx 2024-1-18 0400 tengjiexx 2024-1-18 20:42
[原创] 自己写的一个基于BOOTH编码和wallace树的32*32点有符号乘法器 attachment  ...23 yjq2529 2013-8-14 206187 你吃不吃火锅 2024-1-18 16:50
[资料] DDR SDRAM 总线相关的一些小论文中文资料。 attachment  ...2345 ic_qiand 2011-11-10 4411514 birdhappy 2024-1-18 15:52
lattice的ddr控制ip attachment  ...234 zkr4 2006-11-16 327011 shoaibsaiufuddi 2024-1-18 15:50
[资料] [Turbo码原理与应用技术].刘东华.高清文字版 attachment liguchu 2024-1-18 0217 liguchu 2024-1-18 15:30
以太网10-100M IP核Verilog源码,可综合 attachment  ...23456..11 xuemingyang 2007-11-26 10219550 shoaibsaiufuddi 2024-1-18 15:26
[资料] 自己编译的Verilog代码美化工具istyle 1.21 attachment  ...2 xixilu 2017-8-27 102808 berry4 2024-1-18 14:39
[资料] IEEE Standard for VHDL 新人帖 attachment TXY_MESSI 2024-1-17 2209 lhyi 2024-1-18 14:28
[资料] 《深入浅出玩转FPGA》第三版高清带书签 attach_img  ...23456 fengbohan1 2021-4-27 518615 sutaotao2001 2024-1-18 09:20
[资料] 综合与时序分析 attachment Anturze 2024-1-16 4418 xdrxdr 2024-1-18 04:26
[资料] 深入了解内存 attachment  ...2 mosou 2021-5-25 123087 rocsman 2024-1-17 23:31
华为_内部培训资料大全(EDA研发)【申请置顶】 attachment  ...23456..381 verydc 2008-11-14 3806259582 Gavin-35 2024-1-17 19:20
[资料] 初学者学习DC_PT资料(包含可用TCL脚本) attachment  ...23456..8 liulangshusheng 2010-12-22 7817622 a752109494 2024-1-17 17:28
0数字集成电路设计透视 拉贝等著 清华大学出版社 fengjm_1999 2009-10-15 52214 why222 2024-1-17 15:16
[资源共享咯]统计信号处理基础 - - 估计与检测理论 mwx 2007-6-7 72844 my16821 2024-1-17 14:56
[原创] DFMEA模板 attachment 愤斗的香蕉 2023-11-29 6410 flankerluo 2024-1-17 14:03
[资料] 深入浅出玩转FPGA(第三版) attachment  ...23456 Adam千 2020-1-13 5213942 TXY_MESSI 2024-1-17 11:12
[求助] 求dw_ahb_databook 2018的 新人帖 attachment yuwei0407 2023-5-16 6833 xiang748729319 2024-1-17 09:52
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 06:36 , Processed in 0.020665 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块