在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4620228 masmeq 4 小时前
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 01880 jackzhang 2024-4-11 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 010279 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 611551 sutaotao2001 2024-2-17 13:03
  版块主题   
品类丰富的 TI LDO 产品系列:更低成本,更高性能 jackzhang2023-3-1002121jackzhang2023-3-10 10:12
[求助] 求助Verilog-A写PRBS15 New 淘一陶 6 小时前 042 淘一陶 6 小时前
[资料] 晶体管电路设计上 attach_img  ...2 dacheng1988 2015-5-29 163748 new2000 12 小时前
[求助] 华大九天上用MDE仿真时报错iwave无法打开 新人帖 zzhisyyds 2024-4-8 196 zzhisyyds 昨天 19:16
[原创] PCIe系统阻抗控制85还是100的验证 attach_img New edadoc2013 昨天 17:44 061 edadoc2013 昨天 17:44
[原创] 钻刀无忌,过孔莫愁 attach_img New edadoc2013 昨天 15:29 087 edadoc2013 昨天 15:29
[求助] wilkinson功分器长度不是四分之一波长还算是wilkinson功分器吗 New 射频小白yt 昨天 13:15 037 射频小白yt 昨天 13:15
[求助] 求助,Virtuoso的仿真图太丑,有什么方法能编辑后放到论文里? 新人帖 Fia 2024-4-6 5274 Fia 昨天 10:25
[原创] 模拟电路学习入门的建议(综合整理) attachment digest  ...23456..41 sun_ic 2009-5-18 402125769 power_lee 前天 23:09
[资料] ADI 最新 PLL 产品大汇总 attach_img hgjjhgj 2018-5-28 62965 feibonaqi 前天 21:50
[求助] GPIO电路图原理 attach_img  ...2 Frappuccino 2023-4-14 141746 godunlove 前天 11:30
[资料] CMOS集成电路设计手册 第3版 数字电路篇 attachment  ...23456..16 licongkai 2017-3-8 16031196 www_analog 3 天前
[资料] 推荐电路分析的两本好书,MATLAB应用版 attachment  ...23456..20 happyboy_tb 2015-4-5 19231933 zzww 3 天前
[原创] ADC——资深模拟工程师必知必学的技术 attach_img wang19871001 2021-11-25 22237 zzww 3 天前
悬赏 [求助] 请问比较器输出不准怎么解决,谢谢大佬 - [悬赏 150 信元资产] New wanone1 4 天前 0171 wanone1 4 天前
[求助] 急!!!!比较器预放大级性能仿真 新人帖 attach_img Yoo3245 2021-7-23 82692 lernen 4 天前
[资料] 晶体管电路设计下 attachment  ...234 dacheng1988 2015-5-29 307164 zl8702 4 天前
[求助] AC/DC在spectre仿真中,光耦问 tomatoxu 2012-5-26 11822 muyu0786 6 天前
[原创] Cadence中光耦和431模型 xiamendu2010 2016-12-6 32587 eric.li 6 天前
[求助] 哪位大佬会用veriloga描述下面的光耦电路,求助。 新人帖 attach_img taeyeon 2021-10-11 11674 eric.li 6 天前
悬赏 [求助] 请问6个d触发器怎么形成38进制计数器,请问一下这个电路怎么设计 - [已解决] wanone1 7 天前 5212 wanone1 6 天前
悬赏 [求助] cadence仿真报错 - [悬赏 100 信元资产] crazy195 2024-4-10 1149 沐暖阳 7 天前
[求助] 二阶噪声整形SAR ADC 新人帖 attach_img dgfcym 2021-10-20 72113 koocline 2024-4-14 19:13
[求助] 关于trimming dac结构的问题 新人帖 attach_img fd_alanYang 2023-5-4 4843 痞老板的员工 2024-4-13 17:04
悬赏 [求助] 请问一下这个是什么类型的运放,谢谢大佬 - [已解决] wanone1 2024-4-12 4194 Shiroihane 2024-4-12 18:50
[资料] POWER(LDO/DCDC)soft-start 软启动设计文章 attachment  ...23456..8 darkduck 2017-10-26 7420493 zhaoyicheng 2024-4-12 15:39
[求助] open short thru 结构 新人帖 XYZGQ 2024-4-11 089 XYZGQ 2024-4-11 21:20
[求助] 静态比较器 蒙特卡洛仿真 新人帖 有头脑 2023-5-18 51504 斜阳草树 2024-4-11 14:42
[求助] 找论文 均衡器CTLE _FFE_DFE andy2000a 2020-3-12 75246 IEEEboy007 2024-4-11 10:35
[求助] CAN收发器 attach_img sunny_yangfeng 2016-3-22 42331 infortrans 2024-4-11 07:40
[求助] VCO开关电容的开关的打开与关闭用数字信号控制的吗 nifengdaodi 2024-1-27 6280 zero1217 2024-4-10 09:16
[原创] 高速板材为什么贵?单看这一点你们就明白了! attach_img edadoc2013 2024-4-9 1288 infortrans 2024-4-10 08:01
[求助] 请教谁了解MTK的2.5Gbps USB3.0 协议? bluestatic123 2024-4-8 0135 bluestatic123 2024-4-8 13:55
[原创] 究竟FPC上的焊盘间距做多大才能保证阻焊桥 attach_img edadoc2013 2024-4-7 0177 edadoc2013 2024-4-7 11:18
[求助] stb仿真 双端输出怎么仿?iprobe cmdmprobe diffstbprobe 的区别 attach_img  ...2345 会瞬移的电子 2018-9-13 5018002 婷婷的大佬呀 2024-4-7 10:03
[求助] virtuoso用ams仿真两个级联的2/3分频器,怎么只有第一级有输出,第二级仿真无波形 attachment liuzhuozhuo 2024-3-27 3228 liuzhuozhuo 2024-4-3 16:41
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 21:01 , Processed in 0.022368 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块