在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (17) |订阅

特权同学FPGA助学专版 今日: 0|主题: 561|排名: 186 

版主: 特权fpga
公告 公告: 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) jackzhang 2024-3-21    
全局置顶 隐藏置顶帖 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) attach_img jackzhang 2024-3-20 11125 红红的西瓜 2024-3-29 15:46
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4313023 Miroslav 前天 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 08042 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 69163 sutaotao2001 2024-2-17 13:03
  版块主题   
[资料] 学了一段时间的FPGA,分享一些资料 attachment  ...23 Ericsun666 2022-4-1 272644 ic886 2024-3-17 09:36
[资料] 【超清第三版】深入浅出玩转FPGA第三版 attach_img  ...23456..9 PayPal 2021-9-23 858959 飞龙啸天1 2024-3-7 09:39
[原创] 勇敢的芯伴你玩转Altera FPGA连载94:倒车雷达实例 attach_img rousong1989 2018-11-2 42419 飞龙啸天1 2024-3-7 09:38
[原创] FPGA+USB3.0+LVDS高速传输方案 特权fpga 2016-10-21 74236 genjuro79 2024-1-30 16:02
[原创] 我设计了一个CPU,怎么通过FPGA验证其功能是否正确  ...2 arthur_wo 2022-12-26 101042 arthur_wo 2024-1-29 20:37
[原创] 《爱上FPCA开发——特权和你一起学NIOSⅡ》电子版下载 attachment  ...23456..8 ilove314 2015-2-10 7122626 sutaotao2001 2024-1-27 00:43
[原创] 特权同学2015年新书《FPGA设计实战演练(逻辑篇)》例程下载 attach_img  ...2 rousong1989 2017-2-4 175776 liguchu 2024-1-12 14:45
[原创] 《FPGA时序约束与分析》作者特权同学的工程师之道 attach_img  ...2 rousong1989 2022-2-10 173120 hclin 2024-1-4 02:57
[原创] 2016特权新书 视频图像处理《例说FPGA》 attach_img  ...23456..8 特权fpga 2016-6-16 7922149 hclin 2024-1-4 02:40
[资料] 《深入浅出玩转FPGA(第2版)》 pdf attach_img  ...23456..15 ticool 2015-5-22 14737140 hclin 2024-1-4 02:11
[资料] 特权同学大量网盘资料,包括所有电子书下载  ...234 rousong1989 2017-10-26 3010238 gdutchen 2024-1-3 18:10
[原创] 玩转Zynq连载48——[ex67] Vivado FFT和IFFT IP核应用实例 attach_img rousong1989 2020-1-7 22307 gdutchen 2024-1-3 18:07
[原创] 特权同学《例说FPGA》图书电子版下载 attach_img  ...2345 rousong1989 2017-2-5 4512664 落雪听禅 2024-1-1 19:03
[原创] 特权同学新书《勇敢的芯伴你玩转Altera FPGA》电子版 下载 (FPGA初学者首选) attach_img  ...2345 rousong1989 2017-10-11 4515988 商周 2023-12-28 15:05
[原创] 适合MCU asic 开发的 FPGA开发板 attachment ipex 2023-11-8 0311 ipex 2023-11-8 16:22
[原创] 特权同学2020视频教程《Verilog边码边学(FPGA工具与语法篇)》 attach_img rousong1989 2020-7-2 32823 tianlxl 2023-10-26 15:38
[原创] 基于FPGA的图像平滑处理 attach_img rousong1989 2019-7-5 72879 cmmjava 2023-10-15 10:36
[原创] 赛灵思FPGA初学者必备图书 特权同学新书《勇敢的芯伴你玩转赛灵思 FPGA》 attach_img  ...23 rousong1989 2017-11-27 2311281 cmmjava 2023-10-15 10:11
[资料] 设计与验证:Verilog HDL(清晰PDF) attachment  ...23456..14 zyqbluesky 2015-11-2 13629701 cmmjava 2023-10-15 10:09
[求助] FPGA 求助 200人民币1小时,按时付费 8i8i9o9o 2023-10-5 4507 8i8i9o9o 2023-10-6 13:47
[求助] FPGA求助,有报酬! 设计好的电路如何烧录到DE2-115 FPGA验证 8i8i9o9o 2023-10-2 0253 8i8i9o9o 2023-10-2 09:52
[解决] dft 产atpg pattern时,需要的sdc 23343woaiic 2022-9-21 1869 邝卓宇 2023-9-13 17:35
[原创] 千兆以太网工程模块划分总结与分析 attach_img chop147 2022-11-28 1893 dongge10 2023-9-11 10:04
[原创] 基于FPGA的FFT和IFFT IP核应用实例 attach_img rousong1989 2019-8-10 83308 panych 2023-8-29 11:10
悬赏 [求助] Xilinx的FPGA使用 - [悬赏 20 信元资产] battleangle 2023-7-20 2501 LUWUTONG 2023-8-16 19:24
[求助] 综合出现模块缺失的问题 新人帖 attach_img lindsay123 2021-6-19 31614 shenxh924 2023-7-25 09:27
悬赏 [求助] ultrascale - [悬赏 10 信元资产] happysingle 2023-6-7 1484 happysingle 2023-6-8 13:33
[原创] 《深入浅出玩转FPGA(第2版)》电子版下载 attachment  ...23456..32 ilove314 2015-2-10 31167264 lnbpzzx 2023-5-9 08:50
[资料] 1 特赞 2023-5-3 0563 特赞 2023-5-3 15:52
[资料] Digital System Design with VHDL (2nd Edition).pdf attachment  ...2345 574920045 2015-5-13 4312945 dannymu 2023-4-6 16:03
[原创] 玩转Zynq连载4——AXI总线协议介绍2 attach_img rousong1989 2019-5-10 31893 望眼欲川 2023-3-6 16:42
悬赏 [求助] xilinx .bin 不使用vivado下载 - [悬赏 200 信元资产] 学习使我快乐 2022-9-16 3962 rosesman 2023-1-20 11:33
[求助] 有没有好心人帮我下载一个petalinux2022.1 新人帖 attach_img 漾_H2O 2022-10-10 3779 daniil666 2023-1-18 05:25
[资料] 基于FPGA的MIPI接口开发服务 attach_img chop147 2022-11-28 0595 chop147 2022-11-28 14:09
[解决] altera性能差异 新人帖 attach_img guolingho 2019-7-30 31714 guolingho 2022-11-7 20:50
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 08:51 , Processed in 0.019276 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块