在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (126) |订阅

后端讨论区 今日: 7 |主题: 20872|排名: 6 

讨论区只允许讨论不允许上传资料,更不允许任何形式的灌水!
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4517604 vasya 昨天 15:58
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 0981 jackzhang 2024-4-11 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 09486 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 610707 sutaotao2001 2024-2-17 13:03
本版置顶 隐藏置顶帖 [讨论] 后端基本概念讨论专用贴 attach_img digest  ...23456..58 damonzhao 2012-7-13 576209346 JWL2022 2024-4-11 21:33
本版置顶 隐藏置顶帖 [原创] 关于发帖问问题的一点倡议!  ...2345 damonzhao 2012-1-11 4643483 啵啵33 2024-3-19 10:10
  版块主题   
[求助] starrc 寄生参数提取 新人帖 attach_img cecilia.jiang 2024-4-3 1233 lyjjj 半小时前
[求助] STA | instance延时过大 New 海狸先生0119 昨天 14:55 125 lyjjj 半小时前
[原创] liberate 生成lib时index和capacitance出现异常 六山小子 2023-4-20 41034 swallow8666 1 小时前
[求助] corner的作用?  ...2 亦儿 2015-8-23 189344 dmf336 1 小时前
[求助] 能否设置innovus时序分析精度 New thrallzy 前天 09:23 471 thrallzy 1 小时前
[求助] mix clock New fangwang85 2 小时前 043 fangwang85 2 小时前
[招聘] 西安招聘模拟版图工程师(承接今年毕业生/可本科/有入职培训) New raedon 2 小时前 0109 raedon 2 小时前
[求助] 加dummy抽rc 时序变差 New shannon_z 昨天 17:26 691 JasInShell 昨天 22:30
[求助] innovus SRoute相关问题 New suyiBoy 昨天 15:53 262 lzqxiang 昨天 18:01
[求助] synthesis | timing path中instance fanout过大的问题 New 海狸先生0119 昨天 17:53 018 海狸先生0119 昨天 17:53
[求助] innovus在init_design时出现error怎么解决? 新人帖 New 芋圆不加冰 昨天 15:26 261 芋圆不加冰 昨天 17:10
悬赏 [求助] ICC2生成lef怎么保留pg pin? - [已解决] IS_Kyle_ 2017-9-15 44120 fangwang85 昨天 16:58
[原创] 对输入时钟加一个控制信号进行时钟翻转DC里面的slcak不对 新人帖  ...2 New 叶子iou 4 天前 14137 叶子iou 昨天 16:41
[求助] 请问lib库中的slew_derate_from_library这条命令的意思是什么啊? attachment  ...2 AndreaChiu 2012-11-14 1815611 EndingZ 昨天 16:32
[求助] [求助][后端基础]关于pin,port,terminal,shape,net,text的都指什么? zats 2012-9-27 913118 墨默 昨天 14:33
[求助] TileBuilder 和 Lynx New mingtutu 昨天 13:45 046 mingtutu 昨天 13:45
[求助] 两个时钟都设上false_path;logically_exclusive;physically_exclusive,哪个优先级更高 黄一芯 2024-4-11 8183 hxy2018 昨天 13:36
[求助] 蒙特卡罗仿真 新人帖  ...2 inner_nana 2019-3-29 126812 Haypin 昨天 13:35
[求助] 为什么cadence里面会有hspice? New danalog 昨天 10:51 3101 esbwong 昨天 12:42
[求助] DC综合面积报告 新人帖 New 周三天气不错 昨天 12:10 220 周三天气不错 昨天 12:20
投票 [原创] 数字后端设计职业未来方向选择 新人帖 New zhoufengfan 6 天前 1221 laojun001 昨天 12:16
投票 [统计] 统计下现在后端的市场工资待遇  ...23 Gav123 2022-8-18 2410405 zhoufengfan 昨天 10:32
[求助] siliconsmart 触发器K库combined_setup_hold 的问题 New 桦桦 昨天 09:32 028 桦桦 昨天 09:32
[求助] 能否用神经网络构建老化的标准单元库? 新人帖 liuby 2024-3-29 3222 lurenjie123 前天 21:04
[求助] 请教各位大神,PDK和标准单元库的区别是什么?  ...23 578738434 2015-11-2 2816159 whywhatwhere 前天 18:58
[求助] LVT,SVT,HVT各有什么特点?  ...2345 wyj_whu 2012-5-14 4455155 spima 前天 17:14
[求助] route_design会不会增删buffer? New spima 前天 15:16 280 spima 前天 17:04
[求助] Tempus时序报告怎么看? zhaolist 2024-3-26 6246 nirvana_pear 前天 17:03
悬赏 [求助] PT_1_2008.06 遇到The session directory is corrupted (SR-011)问题 - [悬赏 50 信元资产] HOU_TX 2023-4-14 2995 BRN 前天 16:41
悬赏 [求助] 如何使用sim2iprof 得到memory较准确的apl文件 - [悬赏 500 信元资产] New 小飞侠david 前天 16:23 077 小飞侠david 前天 16:23
[求助] SMIC 55nm SRAM的bit cell面积是怎么计算出来的 New 六山小子 前天 10:19 782 六山小子 前天 15:40
[求助] GBA PBA attach_img  ...23 lbbsky 2021-5-8 224508 黄钊 前天 15:25
[求助] STA | timing path中cell的延时为什么是负数? New 海狸先生0119 4 天前 294 cxksyue 前天 13:56
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:13 , Processed in 0.024882 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块