在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (16) |订阅 |存档

MATLAB 资料 今日: 0|主题: 3148|排名: 21 

版主: jswon, eecsseudl
1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4620395 masmeq 昨天 16:03
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 01935 jackzhang 2024-4-11 08:45
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 010338 jackzhang 2024-3-15 09:26
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 611605 sutaotao2001 2024-2-17 13:03
本版置顶 隐藏置顶帖 数字锁相环的matlab仿真,二阶环路滤波 attachment digest  ...23456..34 qsj8362234 2013-7-23 33870195 auch0311 2023-8-16 13:00
  版块主题   
Matlab 模型:SERDES, ADC, PLL等 attachment  ...23456..42 see01995 2014-3-26 41762960 ToDai 昨天 19:13
viterbi 编码及解码器 附测试 attachment  ...23 helenxiaoxiao 2010-2-5 298289 lee4397 昨天 10:54
几十本控制方面的书百度网盘分享,纯英文版pdf lans0625 2024-4-13 8270 flyskyseu 前天 14:08
最全MATLAB和Simulink仿真教程 attachment 酱紫吃美羊羊 2024-1-22 6480 IC菜鸟plus 前天 10:07
2022a 2021b attach_img  ...23456..14 leejohannes 2022-3-20 1349291 zzsczz 4 天前
Matlab R2021B attachment  ...234 Echos 2021-11-21 303908 zzsczz 4 天前
《反馈控制理论》中文翻译版 attach_img  ...2 lans0625 2021-10-16 121943 wc_buaa 4 天前
《目标跟踪前沿理论与应用》pdf attachment lans0625 2024-1-2 7386 wc_buaa 4 天前
《滤波与系统辨识 (沃哈根)》中文版pdf attachment lans0625 2024-1-8 6282 wc_buaa 4 天前
《Pid Control System Design and Automatic Tuning Using Matlab Simulink》(Wi... attachment  ...234 lans0625 2021-11-22 302723 wc_buaa 4 天前
OpenCV图像处理小案例实战 attach_img  ...2 shejidedao 2020-11-5 101989 qin9921 6 天前
springer在2020年出版的新书《Beginning MATLAB and Simulink From Novice to Professional》 attachment  ...23456..9 wjx197733 2020-11-25 8813303 flyskyseu 6 天前
Matlab_R2023b_c*r*a*c*k attachment  ...2 伪界 2023-12-14 11695 yes123168 7 天前
MATLAB 2023b attachment  ...2 wangzhaoxidian 2024-3-2 11485 yes123168 7 天前
Matlab之Simulink菜鸟级经典教程(手把手滴让你成为仿真高手) attach_img  ...23456..13 付牛青 2020-5-23 12917352 yes123168 7 天前
最新版本 Matlab R2019b及破解Crack下载(Win+Linux),亲测有效!!!! attach_img  ...23456..19 Nudlex 2020-2-25 18536882 yes123168 7 天前
[Windows] MATLAB R2021b Update2 attachment  ...2 Echos 2022-1-21 132530 yes123168 7 天前
重磅炸弹:Simulink建模与仿真(电子书,非PPT,两种格式) attachment  ...23456..40 nan123chang 2008-5-22 39556497 yes123168 7 天前
数字信号处理及其Matlab实现(附源码) attachment  ...23456 rifle16m 2010-6-24 5413522 cici520 2024-4-16 17:39
《自适应滤波算法与实现(第4版)》中文翻译版 attach_img  ...23 lans0625 2021-10-18 202590 chaijb2008 2024-4-15 08:55
《Simulink建模与仿真》1000多页的pdf attach_img  ...23456 lans0625 2021-10-5 505093 blw0607 2024-4-12 14:22
数字信号处理在MATLAB中实现的一本好书,强烈推荐!!! attachment  ...23456..14 智慧棒 2011-6-22 13927759 mihoyo 2024-4-11 16:53
MATLAB Coder User’s Guide (True PDF) attach_img  ...23 hi_china59 2022-12-27 221608 csfan007 2024-4-10 22:37
MATLAB程序设计及其在信号处理中的应用(很好的书) attachment  ...23456 圆梦86 2011-3-13 538721 myl 2024-4-9 10:10
悬赏 小波分析理论与MATLAB R2007实现 新人帖 - [已解决] attachment 抑郁小青年 2020-11-13 61719 chaijb2008 2024-4-9 10:07
省钱版经典书籍《数字信号处理及其MATLAB实现》(中译本PDF格式)敬献! attachment  ...23456..16 xujolin 2011-3-31 15626536 chaijb2008 2024-4-9 10:03
《非平稳信号特征提取方法及其应用 》pdf分享 attachment lans0625 2021-8-27 51114 chaijb2008 2024-4-9 09:59
《电力系统分析中的计算方法(原书第2版)》中文翻译版pdf attach_img  ...2 lans0625 2021-9-4 192647 chaijb2008 2024-4-9 09:56
好书分享《差分方程及其应用》pdf attachment  ...23 lans0625 2021-8-21 203140 chaijb2008 2024-4-9 09:53
《特征提取与图像处理(中译第二版)》[尼克松] attachment lans0625 2021-8-27 61380 chaijb2008 2024-4-9 09:40
《振动信号的盲源分离技术及应用》pdf attachment lans0625 2024-1-7 6258 chaijb2008 2024-4-9 09:30
用Cadence PVS 做LVS 显示Not run 新人帖 Huang0421 2024-4-8 1111 Cris.Zhang 2024-4-9 09:18
冯端_ 金国钧 - 凝聚态物理学. 上下两卷-高等教育出版社 (2013) attach_img  ...2 lans0625 2022-8-31 101054 Michael2023 2024-4-8 21:19
时频分析-理论与应用 attachment  ...2345 hfxjxu 2010-7-15 4510646 chaijb2008 2024-4-8 11:03
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 04:36 , Processed in 0.023676 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块