在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1978053|回复: 425

芯片精品文章合集(500篇!)

[复制链接]
发表于 2020-12-15 12:54:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

本文收集了EETOP公众号微信技术干货文章,包括:IC制造、IC设计、人工智能等将近500篇。建议收藏,慢慢阅读。
(以下内容为2019年及之前的内容,2020年内容正在整理中。。)

觉得感兴趣的,可以微信扫码,进入EETOP公众号后台,输入关键词:芯片 ,即可全部获得!

1-1.jpg


内容列表:

  • 7nm : 台积电 VS 三星

  • 格芯成都厂为何会停摆?
  • 半导体的过去、现在和未来
  • 芯片制造新模式--像搭积木一样造芯片
  • 大型IC设计中心的IT环境
  • 内存的故事--风风雨雨50年
  • 芯片设计中电迁移和IR压降的挑战和技术
  • 芯片面积太大了!三星 Exynos 9820 内核照片曝光
  • 麒麟980内核照片:NPU在哪呢?
  • 六家 5G 基带芯片介绍及市场剖析
  • SoC与5G基带分居了 原因是?
  • IC大牛10多年的设计分享:数字典型电路知识结构地图及代码实现
  • 深度聊聊MOS管
  • 32GT/s PCIe 5.0,SOC芯片关键设计与挑战
  • 关于华为海思,这篇文章值得一看
  • 俄国没有高端芯片,为什么却能造出一流武器?
  • 别拦我,我要做芯片!
  • 芯片春秋·arm
  • 中国芯酸往事
  • 印度芯酸往事
  • 国防军工芯片行业深度报告
  • 一位美国芯片公司华人高管对中国芯片行业的思考
  • 学习、积累、交流-IC设计高手的成长之路
  • 女生学微电子是一种什么体验?
  • mips架构开放了,10天设计一款完全免费的MIPS处理器(附源码)
  • 性能之殇:从冯·诺依曼瓶颈谈起
  • AI芯片设计与开发概览
  • AI 芯片和传统芯片有何区别?
  • 一个资深工程师老王关于AI芯片的技术感悟
  • 隔隔壁老王:AI芯片与她怎么选?
  • 终于有人把云计算、大数据和人工智能讲明白了!
  • 尺寸减半、功率翻番!——氮化镓技术的现在和未来
  • 逻辑综合 Design Compiler 资料大全
  • 集成电路制造技术简史
  • 什么是AMI与IBIS?如何轻松完成DDR5设计?
  • 半导体科普:IC芯片设计及生产流程
  • 晶圆代工争霸战四部曲(了解各晶圆厂的前世今生,非常详细!)
  • 非常详细的半导体工艺流程讲解
  • 射频半导体工艺介绍
  • 版图中Metal专题——线宽选择
  • 有哪些只有IC工程师才能get到的梗?
  • 为什么7nm工艺制程这么难?从7nm看芯片行业的“贫富差距”
  • 什么是台积电的SoIC?
  • risc-v打入主流市场的诸多问题
  • RISC-V架构有何优势?
  • 关于RISC-V 终于有人讲明白了!
  • RISC-V软核+FPGA 航天军工产品设计新机遇
  • asic低功耗设计实例分析及书籍推荐
  • ASIC设计学习总结(包括:工具及书籍文档推荐 、软件环境搭建、RTL设计、验证、工艺库说明、形式验证、综合等共12部分)
  • ASIC设计学习总结之可测性设计及书籍推荐
  • ASIC设计学习总结之静态时序分析概要及书籍推荐
  • ASIC设计学习总结之工具及书籍文档
  • 小芯片大价值 | ASIC工程师如此值钱到底为什么?
  • 芯片面积估计方法简介
  • 自主研发通信芯片有多难?通信行业老兵告诉你,没那么简单!
  • RISC-V精简到何种程度?能省的都省了!
  • 多核cpu设计及RISC-V相关资料
  • 时序设计与约束资料汇总
  • 模拟版图讲义
  • GDSII转DEF的flow简介
  • 机器学习将越来越依赖FPGA和SoC
  • verilog基本功之:流水线设计Pipeline Design
  • 先进封装发展趋势分析PPT
  • 先进封装发展现状分析PPT
  • 可测试性设计与ATPG
  • 麒麟980是如何诞生的?敢于失败,勇于尝试!(附:华为早期型号处理器研发过程)
  • IC模拟版图设计讲义
  • Verilog CPU设计实例
  • CPU、GPU 和 TPU 都是如何工作的?有什么区别?TPU为什能碾压GPU?
  • 流行数十年的主流芯片架构正在悄然巨变
  • 与IC设计产业相比,EDA产业发展的难处有哪些?
  • 千兆以太网 TCP, UDP协议, FPGA实现
  • SoC功能仿真验证技术分享
  • 对验证的一些理解
  • IC layout 脚本分享
  • 异构整合,半导体下一个关键
  • 用Python编写FPGA以太网MAC(附源码下载方式)
  • 用python进行机器学习
  • 直接产生verilog的testbench的python脚本
  • 干货!ASIC牛人之经典总结
  • ASIC前后端设计经典的细节讲解
  • 资料分享|时序分析
  • Verilog基本电路设计(包括:时钟域同步、无缝切换、异步fifo、去抖滤波)
  • 128点 FFT verilog代码分享
  • 硬核实现 ”春节快乐” 代码分享
  • FPGA在人工智能时代的独特优势
  • 什么是FPGA工程师的核心竞争力
  • 从芯片到系统:FPGA加速卡的发展历程与展望
  • 基于FPGA的深度学习加速器的挑战与机遇
  • FPGA正变成“瑞士军刀”, 越来越像SoC
  • 数字前端及FPGA设计相关书目泛读及点评
  • 数字IC设计学习流程
  • 防止毛刺的时钟切换电路的设计思想
  • 函数发生器实现方法简述
  • 用FPGA实现简单的UDP/IP通信(采用纯硬件语言,非软核)
  • 数字集成电路设计入门 --从hdl到版图
  • 同步器的设计
  • 数字IC工程师的技能树
  • 微电子树
  • IC设计完整流程及工具简述
  • IC芯片设计及生产流程
  • IC 芯片的成本从哪里来?
  • 说说芯片设计这点事
  • 关于IC设计的想法
  • 数字IC设计的完整流程(非常详细!)
  • 数字IC Design技术全局观(110页PPT!)
  • ASIC设计中各个阶段需要注意的问题
  • 深入浅出谈谈Setup和Hold
  • 大话setup time与hold time
  • 静态时序分析中的setup和hold存在负值的问题
  • 关于静态时序分析STA的切入点及方法
  • 静态时序分析(STA)基础与应用
  • 组合逻辑设计中的毛刺现象
  • 数字IC设计工程师的发展前景如何?
  • 一个合格数字IC设计工程师的知识结构
  • RS编解码Verilog代码RS(255,247)
  • RS(255,239)编解码算法,verilog代码以及详细讲解
  • 非常详细的Verilog讲义教程,共472页
  • 一个简单的8位处理器完整设计过程及verilog代码
  • 网友经验分享: Verilog设计注意
  • 关于同步与异步时序的Verilog一例
  • 半导体、微电子专业英语词汇汇总
  • 以DAC为例介绍SpectreVerilog数模混合电路仿真方法
  • IC设计与验证工程师友谊的小船说翻就翻
  • 数字IC设计基本流程和所使用的工具
  • 国外的数字IC面试题(非常详细,有答案)
  • 读懂用好TimingReport
  • 异步FIFO设计(非常详细,图文并茂,值得一看!)
  • 平行宇宙的追逐--异步FIFO控制器的设计
  • 异步FIFO为什么使用格雷码
  • 数字IC设计工程师笔试面试经典100题(1~50)
  • 数字IC设计工程师笔试面试经典100题(51~100)
  • 同步/异步设计及metastability
  • 为什么越来越多的数据中心使用 FPGA ?
  • 基于FPGA的数字识别的实现
  • FPGA设计中遇到的奇葩问题之“芯片也要看出身”
  • FPGA就像是一张精密的画布 - dsp 专家给你一个选择 FPGA 的理由
  • 聊聊FPGA/CPU/PCIE/Cache-Coherency/CAPI
  • FPGA是如何实现30倍速度的云加速的?都加速了哪些东西?
  • 一文了解 FPGA 发展之路
  • 【干货】腾讯云FPGA的深度学习算法
  • 云中的机器学习:FPGA 上的深度神经网络
  • 网友吐槽:9年FPGA工作总结,苦海无涯,穷逼多
  • FPGA数字电路设计经验分享(干货!)
  • 干货!基于FPGA之低速协议设计实验手稿及源码
  • FPGA设计高级进阶
  • CRC循环冗余校验的原理与算法及FPGA实现
  • 关于FPGA设计仿真和硬件实测不一致问题的讨论
  • 如何扩展FPGA的工作温度范围
  • 如何用单个 Xilinx FPGA 芯片数字化数百个信号?
  • 一个FPGA工程师的个人工作经历总结
  • 让 FPGA 视觉功能大众化
  • FPGA电源简介
  • FPGA实现除法运算
  • 利用基于FPGA的模糊控制器控制蔗糖提取
  • 利用 Artix-7 FPGA 设计高性能 USB 器件
  • FPGA应用文章:采用 Zynq SoC 测试新型存储器技术芯片
  • 基于XILINX的FPGA的AES 128bit加解密算法
  • 利用Xilinx FPGA实现高效并行实时上采样
  • FPGA实战演练逻辑篇(1)-FPGA与ASIC,FPGA与CPLD,VERILOG与VHDL
  • FPGA实战演练逻辑篇(2)-FPGA应用领域及优势,FPGA开发流程
  • FPGA实战演练逻辑篇(3)FPGA板级电路设计五要素
  • 基于ARM的CRC算法和基于FPGA的算法性能比较
  • 如何防止基于FPGA的项目误入歧途
  • Zynq片内XADC应用笔记
  • Zynq器件时钟子系统介绍
  • 建立及保持时间、建立及保持余量的理解
  • 软核MicroBlaze的C编程经验及技巧
  • Tcl在Vivado中的应用
  • Vivado使用详细介绍1:创建工程,编写代码,行为仿真,Testbench
  • Xilinx Vivado的使用详细介绍(2):综合、实现、管脚分配、时钟设置、烧写
  • Vivado的使用介绍3:使用IP核
  • Xilinx FPGA入门连载2:Modelsim SE 10.1安装
  • Xilinx FPGA入门连载4:ISE中使用notepad++的关联设置
  • Xilinx FPGA入门连载6:ISE与Modelsim联合仿真之关联设置
  • Xilinx FPGA入门连载7:新建工程
  • Xilinx FPGA入门连载8:Verilog源码文件创建与编辑
  • Xilinx FPGA入门连载9:Verilog语法检查
  • Xilinx FPGA入门连载10:Modelsim仿真验证
  • Xilinx FPGA入门连载11:PWM蜂鸣器驱动之功能概述
  • Xilinx FPGA入门连载12:PWM蜂鸣器驱动之引脚分配
  • Xilinx FPGA入门连载13:PWM蜂鸣器驱动之综合、实现与配置文件产生
  • 跟着我从零开始入门FPGA(一周入门系列):第一天:Verilog语法
  • 跟着我从零开始入门FPGA(一周入门系列):第二天:组合逻辑设计
  • 跟着我从零开始入门FPGA(一周入门系列):第三天:时序逻辑设计
  • 跟着我从零开始入门FPGA(一周入门系列):第四天:
  • 跟着我从零开始入门FPGA(一周入门系列):第五天:阻塞和非阻塞
  • 跟着我从零开始入门FPGA(一周入门系列)-第六天:有限状态机
  • 跟着我从零开始入门FPGA(一周入门系列):第七天:设计一个只有4条指令的CPU
  • FPGA入门连载一:0和1——精彩世界由此开始
  • FPGA入门连载二:Verilog/VHDL语法学习的经验之谈
  • FPGA入门连载三:表面现象揭秘——逻辑关系
  • FPGA入门连载四:内里本质探索——器件结构
  • FPGA入门连载五:第一个工程实例
  • Vivado使用详细介绍2综合实现管脚分配时钟设置烧写
  • 基于FPGA的DDR3多端口读写存储管理设计
  • 在低成本FPGA开发板上实现Oberon系统
  • 全可编程抽象化:你的编程你做主
  • XILINX FPGA FIFO使用技巧
  • 智能视觉系统中如何处理多图像传感器?
  • FPGA时钟和复位电路设计
  • FPGA设计,视时序为一切
  • 在FPGA设计中,时序就是全部
  • 利用FPGA对大规模MIMO信道进行特性描述
  • 如何将PetaLinux移植到Xilinx FPGA上
  • 关于FPGA设计仿真和硬件实测不一致问题的讨论
  • FPGA适合用在哪儿?OpenCL,C,和C++语言对FPGA和全SoC有什么用?
  • 入门贴:FPGA中的INOUT接口和高阻态
  • 科普:关于处理器你所需要知道的一切
  • 科普:海思CPU的设计制造过程,看了以后真觉得华为不容易
  • 网友最爱看的处理器IC设计相关图书
  • 一文教你读懂芯片后端报告(做前端的必看!)
  • 干货:数字IC后端设计全局观--数字后端做什么从RTL到GDS(137页PPT)
  • 科普:海思CPU的设计制造过程,看了以后真觉得华为不容易
  • CPU DIE Photo 大全
  • 普及贴:CPU的发展历程(X86篇)
  • 一个从刚入大学就励志做CPU设计到毕业后如愿以偿的故事!
  • 终于讲清楚了,看完这篇你也可以设计CPU了
  • 科普:GPU是如何工作的?与CPU、DSP有什么区别?
  • 在CPU IP授权上,ARM 是怎样战胜MIPS的?
  • 从沙子到芯片,看看CPU是如何制造出来的
  • 深度分析国产龙芯新架构CPU
  • CPU诞生鲜为人知的故事:首款8位CPU并不是Intel的,仅比4004晚了两个月
  • 基于RISC-V架构的开源处理器及SoC研究综述
  • RISC-V与DSA!计算机架构宗师Patterson与Hennessy 演讲实录
  • RISC-V资料大全中文版!
  • 科普:ARM与X86 CPU架构对比区别
  • 从零到精通--处理器(CPU)的设计之路
  • 说一说CPU与GPU的区别
  • 自己动手设计专用处理器
  • 励志!他设计了先进的指令集,申请三项专利,并设计出了自己的CPU!
  • 分享|开源GPU,RTL源代码+验证环境+文档
  • Analog IC 难在哪里,结构?参数?版图?系统?(最新更新)
  • 从一篇Datasheet中能学到什么?
  • IEEE JSSC论文分享:30GHz low-flicker noiseOscillator
  • 彻底厘清振荡器中Flicker Noise问题--IEEE TCAS-II(2019)论文分享
  • 全球顶尖芯片专家揭秘【低功耗芯片设计】真相
  • 关于低功耗、低电压的bandgap电路
  • Low power RTL 设计优化,同等工艺下让你的设计功耗更低!
  • 两篇关于RF PA 的博士论文
  • PLL经典讲义
  • 神作:带宽!
  • 功率谱密度
  • SerDes概述
  • 深入浅出聊抖动(Jitter)
  • 动态失调消除的方法(模拟IC设计黑科技!)
  • 先进制程工艺集成电路ESD电路如何设计?
  • ESD讲义
  • 成为一个资深Analog/RF IC Designer 需要怎样的经历?
  • 功率半导体(VDMOS,IGBT,TVS)讲义
  • 相比CPU,高性能模拟器件更担心被禁运,那么研发难点在哪儿?
  • RF PA 那些事
  • AACD 2017 Hybrid ADCs 资料大全
  • 拉扎维16年写的-TSPC Logic
  • 功率半导体器件基础(Baliga)(1085页)
  • 模拟电路&模拟IC设计
  • 模拟数字产品开发流程
  • SPICE简史
  • 干货分享:测量自己的心电图(从理论到电路)
  • 好的模拟IC工程师应该具有的素养
  • 模拟IC设计领域的经典之作
  • 是否需要模拟后仿真?
  • 极点零点之我见
  • 六本经典模拟IC书籍精彩评论及总结
  • 模拟设计的100条圣经
  • 模拟电路学习入门的建议
  • 模拟IC流片经验分享
  • 模拟IC年薪几十万师兄的模电学习经历
  • 想成为一名模拟ic设计师在本科期间应该做哪些准备?
  • 模拟电路设计的九重进阶
  • AnalogIC难在哪里,结构?参数?版图?系统?
  • 模拟集成电路设计第二讲:传输函数,零极点的形成及时域响应
  • 我还要不要继续学习模拟设计--一个跨行硕士的疑问
  • 如何学习模拟IC设计
  • 模拟大牛谈模拟工程师身价及发展方向
  • 模拟电路应知应会200问
  • 模拟后仿真的几种做法以及优缺点
  • 关于模拟地与数字地很意思的解释
  • 干货!深入浅出射频模拟电路设计经典讲义
  • 伏模之路--模拟电路学习感悟
  • 模拟IC设计真的很难学吗?
  • 模拟IC正向设计流程总结
  • 模拟学习感悟与总结
  • 模拟滤波设计讲义
  • 拉扎维模拟CMOS集成电路设计讲义Part3
  • 拉扎维模拟CMOS集成电路设计讲义Part2
  • 模拟学习感悟与总结



EETOP公众号曾经推送过很多适合于电子工程师培训相关的讲义和资料,为了便于查阅,我们把EETOP过去几年发过的一部分相关文章整理出来,分享给大家,建议收藏,慢慢阅读。
  • 版图基础设计讲义
  • PLL/锁相环 学习高阶资料打包下载
  • ESD讲义
  • 非常详细的Verilog讲义教程,共472页
  • 华为模拟集成电路设计讲义
  • IC模拟版图设计讲义
  • 可测试性设计与ATPG
  • 射频天线 麻省理工David 教授原版讲义
  • NB-IOT解决方案PPT
  • NB-IoT原理讲解详细PPT
  • ASIC牛人之经典总结
  • 高速设计讲义(设计方法、信号完整性、板级高速时序分析)
  • 测量自己的心电图(从理论到电路)
  • RISC-V资料大全中文版
  • 先进集成电路产品的可靠性(PPT)
  • 深入浅出射频模拟电路设计经典讲义
  • 经典!国外模拟专家写的波特图用法详解,以及放大器稳定补偿的各种方法
  • 差分信号之剖析与探讨
  • 差分信号简介
  • 射频基础知识培训讲义--华为版
  • 深度报告--机器人大趋势(138页PPT)
  • 非常详细的射频基础知识讲座(110页PPT)
  • 手机射频电路原理分析
  • 如何测试一颗芯片:全面了解DFT技术(超详细的PPT!)
  • 数字IC后端设计全局观--数字后端做什么从RTL到GDS(137页PPT)
  • 数字ICDESIGN技术全局观
  • 滤波器设计基础(非常详细的PPT)
  • 结合工作整理的MCU芯片级的ESD防护及设计
  • How ADC Errors Affect System Performance
  • 滤波器设计PPT
  • 模拟滤波设计讲义
  • 韩国大学CPU设计课件分享
  • 非常详细的微波功率放大器讲义!
  • 非常详细的Delta-Sigma A/D转换器讲义
  • 韩国人写的关于delta sigma建模的PPT
  • MEMS培训讲义
  • FPGA数字电路设计经验分享
  • 台湾高人图文解说S参数(基础篇)
  • 非常详细的电磁兼容设计技术
  • 软件无线电最新研究进展概述
  • 集成电路物理设计讲义
  • Design Compiler 培训讲义(非常详细,学习DC必看)
  • DC-DC开关电源基础知识讲义(德州仪器)
  • 非常全面的Sigma-Delta ADC经典讲义(90页PPT)
  • 非常详细的开关电源原理与设计讲义(60页PPT)
  • 拉扎维模拟CMOS集成电路设计讲义Part1
  • 拉扎维模拟CMOS集成电路设计讲义Part2
  • 拉扎维模拟CMOS集成电路设计讲义Part3
  • 非常详细的ESD模型及测试讲义
  • 非常棒的模拟集成电路设计讲义!
  • 非常好的电源管理讲义
  • 非常详细的A/D & D/A基础知识讲义
  • 汽车电子EMC设计测试基础(非常详细的PPT)
  • 手机射频Placement的小结与心得(44页PPT)
  • FinFET发明人胡正明教授的两篇原版PPT
  • 非常详细的混频器、频率合成器、振荡器的分析与设计(120页PPT)
  • IGBT的设计及仿真验证(PPT)
  • 开关电容电路介绍(PPT)

觉得感兴趣的,可以微信扫码,进入EETOP公众号后台,输入关键词:芯片 ,即可全部获得!
1-1.jpg





 楼主| 发表于 2021-11-9 14:36:57 | 显示全部楼层


公众号后台输入
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 01:06 , Processed in 0.097204 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表