在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 求高手讲解“打一拍”的具体含义和作用  ...23 天易飞飏 2010-12-17 2120083 自由飞翔 2012-12-24 16:59
[求助] 关于滤波器的设计书籍推荐 attachment  ...23 YoungZ1 2012-10-19 2620062 szdgsz 2021-4-28 19:35
[求助] Verilog如何判别一个多bit数中含有1的个数  ...23 abonic 2010-3-17 2320045 chaohang 2016-12-18 13:48
FPGA新手,该用verilog 还是VHDL?  ...23456..11 Paul_Lee 2009-1-21 10520032 szq187 2016-2-25 16:28
[求助] 关于异步FIFO深度的计算  ...234 tjxgz 2013-3-19 3320050 hurrywork 2017-4-26 22:25
[求助] ncverilog后仿反标sdf? zqszjmzy 2012-7-19 720041 Holtek12 2018-5-18 23:07
[活动] 【Zynq征文】ZedBoard典型运用电路图 attachment digest  ...23 gaochy1126 2013-2-20 2720040 szmyyl000 2017-8-28 14:28
dc综合出来的.area文件里的单位是什么?  ...23 sdwsh 2006-8-16 2119970 CDC140L 2023-10-7 09:20
[原创] 关于SOC中的总线AXI/AHB/APB  ...23 ieangel 2012-1-8 2919995 王喜鑫 2021-12-28 11:29
悬赏 [求助] FPGA里的管脚分配中的DQ,DQS,VREF等等都是什么东西? - [已解决] 箜篌 2011-10-8 319960 箜篌 2011-10-10 10:31
[求助] formality求助 attach_img herezt 2012-3-14 919901 pkuzhao 2021-3-17 10:30
[原创] Verilog for循环仿真问题 attach_img  ...2 frankytm1984 2010-1-27 1919892 52927605@qq.com 2018-3-1 12:54
[求助] verdi软件使用,波形背景颜色 attachment  ...2345 9065574912 2014-12-22 4619798 wangyuankun 2023-12-21 20:48
同步FIFO和异步FIFO各在什么情况下应用  ...2345 wayong 2007-3-19 4319822 icghost 2012-6-12 14:16
[求助] 请教Primetime的问题  ...23 edayz 2012-10-12 2219780 金角大王G 2021-1-9 14:18
VerilogHDL VHDL SystemC SystemVerilog这些东西的具体区别在何处  ...2345 yaobin 2004-12-24 4519728 Jream 2015-10-20 19:35
[活动] 赛灵思“安富利杯”89美元LX9 Microboard开发板免费试用有奖征文大赛正式启动! attachment  ...23456..7 jackzhang 2011-7-12 6819683 linzhjbtx 2012-3-15 09:40
基于FPGA的全数字锁相环设计 attachment  ...23456..10 晨光一缕 2009-6-27 9619696 华胥之国 2019-8-2 14:55
[求助] 关于set_input_delay和set_output_delay attach_img  ...234 sages 2012-5-10 3019722 fky123 2019-8-27 17:19
大家用什么画图工具? attachment  ...23456 ailone 2008-12-12 5419645 Candydarte 2023-3-20 01:48
[求助] 请教xilinx DDR3 MIG系统时钟和参考时钟问题  ...2 danerliu 2013-7-4 1419624 edwardk 2018-12-19 14:23
悬赏 [资料] 求电子书【ModelSim电子系统分析及仿真】 - [已解决]  ...23 xcrabx77 2012-11-26 2419612 yuanpin318 2018-11-22 21:32
[资料] XILINX 6系 目标设计平台 jackzhang 2010-11-3 019639 jackzhang 2010-11-3 20:33
当板子没有reset 键的时候,FPGA 内部怎么产生reset 信号?  ...234 321liuwei321 2009-9-20 3919583 solala 2016-12-7 15:42
[求助] 关于Design Compiler 里 power 估计的问题 ttxs2009 2013-9-27 119579 A1985 2013-10-30 09:31
[讨论] 做ASIC最核心的部分是用Verilog实现算法吗  ...23456..8 bandit 2010-5-27 7819518 lhw123 2018-11-27 15:16
[讨论] 数字IC设计工程师的前景?  ...234 mainie000 2010-12-3 3919530 edwardk 2018-11-28 11:33
[求助] DesignWare IP 安装时的Project ID(急)  ...23 suncongj 2010-2-3 2519501 zg8312 2023-8-24 09:41
[原创] 大家仿真是用Modelsim还是NCVerilog?  ...23456..8 tntdog 2010-5-23 7419440 mufengck 2017-4-7 15:04
DC:set_clock_uncertainty问题  ...2 jackiexiuyi 2008-11-10 1819448 zxyglx 2020-4-10 11:04
下载 ISE9.1  ...2345 jitongw 2007-6-1 4019383 tgvcat 2016-3-18 10:47
[活动] 【更新,参加7月期】基于Xilinx Spartan-3E的真随机数发生器的设计 attach_img digest  ...2345 huiyuanai3 2012-6-25 4219306 Markmiao4 2024-2-29 17:08
[资料] 多媒体处理FPGA实现-System Generator篇(随书光盘) attachment  ...23456..8 平凡的足迹 2014-4-19 7319340 芯星 2021-5-27 12:24
[求助] 很迷茫 到底如何学习数字IC  ...2345 我擦泪 2012-12-30 4119324 罗啸 2021-10-10 22:09
[求助] 请教给位大虾一个Modelsim仿真错误的问题  ...23 赵侯261 2012-3-30 2619253 大暑 2016-7-8 16:27
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 12:55 , Processed in 0.064491 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块