在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] Xilinx开发板Block RAM的使用 attachment  ...234 cqugjw 2013-6-9 3321558 hjj3547110 2017-1-2 17:24
[讨论] 工作方向请教:FPGA原型验证  ...23 seulk 2013-1-9 2721619 helloamigos 2023-8-14 10:59
[原创] Verilog语法精粹  ...234 johnny1209 2012-3-18 3121560 free_dreamer 2024-4-9 16:42
[讨论] 用verilog写一段代码,实现消除一个glitch attach_img  ...23 xiaoyuzhong 2012-8-6 2821468 杨先生啊 2020-4-11 17:06
[原创] DC中常用脚本,并且每句的作用 ,用汉语标注 attachment  ...23456..9 graduate2010 2012-3-26 8721425 novel_qin 2020-8-13 21:42
about gated clock  ...2345 cdcll 2004-4-22 4521352 edwardk 2018-12-24 09:41
[原创] 一个verilog关于时钟的面试题 attach_img  ...2345 veron 2011-6-14 4421351 杰克淡定 2016-4-12 16:35
[求助] 怎么推算出来CRC计算的并行算法 attachment  ...23456..8 mosou 2010-6-27 7221364 ssexpo1046 2021-4-30 16:55
[活动] PCIe!PCIe!各位大神一起来讨论!一起搞定它! attachment  ...23456 爱在00身边 2013-7-10 5421274 陆琦 2020-7-7 16:22
保持时间为负怎么处理?  ...23456 landyw 2004-9-7 5021252 xuzailong 2024-2-1 16:54
[讨论] FIFO你是自己写还是用IP?  ...2345 psd0208 2014-2-25 4621227 sgt 2021-2-18 16:06
[原创] 最全Synopsys DC综合流程教程附DC综合脚本 attach_img  ...23456..9 1581689220 2020-2-26 8521225 ilxsh 3 天前
VHDL.Verilog实现有符号数乘法  ...234 yuelengyueming 2007-1-30 3021216 yellowfly001 2011-5-7 20:04
[求助] 请大家看看这个turbo decoder IP core的源程序 attachment 霏臻 2011-5-18 421186 ald_syn_cad 2011-7-26 03:53
[资料] 《Vivado从此开始》电子书 attach_img  ...23456..10 shejidedao 2020-10-27 9021154 ic886 2024-3-14 00:05
[原创] 关于FPGA同时使用时钟的上升沿和下降沿的问题  ...23 lhrace 2011-10-26 2921123 YYFFLLMMNN 2016-9-19 16:44
[资料] 为ZC706开发板(AD9361)制作SD卡Linux镜像的流程说明 attachment  ...234 zhangtaozt 2015-4-2 3921096 jason_vip1 2024-3-27 13:30
[原创] 敝人敝见 attach_img digest  ...234 zhq415758192 2012-10-23 3721011 ever4ever 2020-5-21 16:39
怎么导出modelsim的波形数据呢  ...23 wqy1985 2009-7-18 2620907 yifug12 2017-12-2 10:59
[讨论] 为什么异步信号处理,经过两级DFF同步器就能起到消除亚稳态的作用? attach_img  ...23 yushuiyang1986 2012-12-27 2220849 kl_upc 2022-9-6 14:42
请教modelsim vs VCS  ...23456 老扁 2003-11-17 5020782 dreamer180 2019-8-1 10:54
[求助] 请教各位,verdi波形查看,打开波形后部分信号是NF  ...2 kdfeifeng 2018-4-19 1420771 wanhlin 2024-4-11 17:38
[讨论] 特殊、另类的库的问题!  ...23456..12 三里墩 2015-7-16 11220793 ADU迪卡 2016-6-22 18:00
[原创] 【原创教程】轻松搞定FPGA,手写VGA、触摸屏、贪吃蛇、钢琴、PS2鼠标VGA画笔、AD/DA attach_img  ...23456..9 芯天地 2014-5-19 8820697 cezking 2016-12-29 23:30
[求助] DC综合问题 attachment  ...23456 hit0821201 2013-5-18 5720554 easonchan 2017-7-16 15:51
Verilog中Event的使用  ...23 dingowjt 2009-3-25 2520490 luminate 2016-6-1 16:59
自己设计的1T8051,带jtag 功能的8051,想卖卖  ...23456 ip2asic 2009-11-28 5620543 ralphtwtw 2018-11-19 10:37
[求助] 用88E1111实现千兆以太网和SFP通信的问题 zhubaojun 2011-8-18 920479 zfcswd 2021-3-11 11:39
[原创] 第一次发帖:成功在RHEL6.2 x64上安装IUS9.2! attachment  ...23456..8 usstudio 2012-4-2 7020400 jinje 2018-10-21 21:13
还有人对组织联盟感兴趣吗  ...23456..10 atuhappy 2003-9-8 9820361 yang6307 2005-8-3 15:32
[原创] 明德扬FPGA资料免费下载 及 学习经验分享 attachment  ...23456..7 明德扬科教 2015-6-3 6120420 明德扬科教 2017-5-15 15:44
[求助] 关于quartus中Error: Can't elaborate user hierarchy的问题 畅言幂语 2013-11-5 320345 HMXW 2016-12-15 17:02
vim编辑verilog的妙用 attachment  ...23456 heavywater 2009-9-19 5520325 durbin 2021-7-13 13:09
[求助] vivado license 总是爆出问题 johnakeke 2015-7-16 920376 erro0214 2018-11-1 20:44
[求助] FPGA的JTAG接口电路的上下拉电阻的问题  ...23 huige2601 2010-3-15 2520308 rosshardware 2018-7-20 14:08
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 16:36 , Processed in 0.086201 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块