在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[请教]Verilog RTL级与行为级描述有何区别?  ...23456..8 fcc124 2005-11-9 7429636 北京小黑 2016-11-12 12:23
请教一个跨时钟域的后仿问题 attachment  ...23456..8 vlog 2006-11-14 7427108 qq787766401 2022-7-20 11:52
[原创] 大家仿真是用Modelsim还是NCVerilog?  ...23456..8 tntdog 2010-5-23 7419402 mufengck 2017-4-7 15:04
[资料] 多媒体处理FPGA实现-System Generator篇(随书光盘) attachment  ...23456..8 平凡的足迹 2014-4-19 7319284 芯星 2021-5-27 12:24
[原创] 我对IC设计流程的一些理解(数字IC部分)  ...23456..8 zlmdmeail_80 2007-10-9 7227325 wjhzhx 2016-5-17 14:32
Quartusii8.1 和 ISE10.1自带的 IP Core破解方法  ...23456..8 iamlaomeng 2009-7-2 7230801 murphy522 2023-10-11 14:53
前端与后端的待遇区别  ...23456..8 fang_shi 2009-10-15 7213353 aguilzu00 2010-2-10 00:39
[求助] 怎么推算出来CRC计算的并行算法 attachment  ...23456..8 mosou 2010-6-27 7221173 ssexpo1046 2021-4-30 16:55
[原创] 《FPGA数字电子系统设计与开发实例导航》刘韬 attachment  ...23456..8 liuliming808 2015-5-17 7217479 zyffpga 2022-3-17 15:56
[资料] 画数字时序图的visio库 attachment  ...23456..8 xcan2012 2022-1-25 7113495 dimeleven 2024-4-4 15:48
[原创] 系统工程师的素质 digest  ...23456..8 大能猫 2012-3-25 7041662 fengjie0728 2021-2-9 16:35
[原创] 第一次发帖:成功在RHEL6.2 x64上安装IUS9.2! attachment  ...23456..8 usstudio 2012-4-2 7020146 jinje 2018-10-21 21:13
[资料] TCL脚本学习资料 attachment  ...23456..8 yy00668 2016-9-10 7024445 最爱吃饺子 2022-3-24 14:31
[资料] Verdi使用教程 attachment  ...23456..8 风起云涌66 2021-3-4 7011706 tianzeli 2023-8-3 16:14
朋友们,面对一份薪水不错的工作,可我想转行,行吗?  ...23456..7 kevin79 2006-10-28 6917984 MOSFET 2007-8-28 14:55
[求助] 求助,linux下modelsim license的问题  ...23456..7 terrylizi 2010-1-23 6935032 bahramrn 2023-11-7 00:34
[求助] 异步时钟域,快向慢用什么方法?慢向快? attach_img  ...23456..7 ll72427 2012-8-31 6937455 justshuashua 2021-4-21 16:11
[原创] 一个开源项目(和Keil联调的8051core) attach_img  ...23456..7 McuPlayer2013 2013-4-18 6933117 gyx3598 2023-7-28 15:05
请教高人register file 和sram 的区别  ...23456..7 tankapple 2006-11-15 6834474 kdb1993227 2017-10-29 14:09
[活动] 赛灵思“安富利杯”89美元LX9 Microboard开发板免费试用有奖征文大赛正式启动! attachment  ...23456..7 jackzhang 2011-7-12 6819665 linzhjbtx 2012-3-15 09:40
[求助] FPGA+外部PHY+SFP光口问题 attach_img  ...23456..7 saigu 2015-7-15 6831970 seupenn 2023-5-10 17:11
[资料] 大牛 钟文枫的SystemVerilog与功能验证 attach_img  ...23456..7 wac123 2019-3-21 6822621 etopjp 2023-7-30 11:53
做IC设计读博到底有没有好处?  ...23456..7 lxq124lxq 2007-1-16 6718876 novices 2007-8-14 10:18
【求助】时钟数据恢复电路CDR的FPGA实现 attachment  ...23456..7 cheng520so 2009-3-19 6728441 fluxay08 2020-9-10 15:40
FPGA学院就业班开始招生  ...23456..7 simbarq 2009-4-21 6717658 fengxinzio 2009-7-6 22:50
[资料] xilinx专家大讲堂-zynq soc attachment  ...23456..7 zyqbluesky 2015-11-2 6718985 hunan168 2023-2-13 08:45
[原创] NVMe 1.3d host IP attachment  ...23456..7 xianuser 2020-5-5 6721913 xianuser 2024-3-11 11:21
分享:在visual studio 2005下编译运行systemC attachment  ...23456..7 alexander.yin 2007-9-27 6614608 zwjin_87 2014-1-7 09:00
FPGA管脚分配需要考虑的因素 attachment  ...23456..7 cqm239 2008-3-20 6611409 yariyari 2014-10-29 19:53
1602LCD资料大全(保满意) attachment  ...23456..7 panwei3000 2008-6-3 6612418 owen70080 2013-9-5 01:04
[活动] 赛灵思诚邀您参加2010大型免费技术研讨会  ...23456..7 jackzhang 2010-11-9 6614443 ylzhao168 2010-12-3 11:07
[资料] 七天玩Altera之验证篇 attachment  ...23456..7 平凡的足迹 2014-4-1 6615419 peng19991220 2017-3-23 21:26
[转帖]Synopsys工具介绍  ...23456..7 一声叹息 2004-9-21 6525771 MARKcz 2023-10-27 09:09
跨时钟域设计,急!!!  ...23456..7 yepizhou 2008-11-26 6517309 ghost_ic 2011-8-1 22:10
Modelsim百问解答 attachment  ...23456..7 acetuo 2009-3-9 659826 wang07 2009-10-19 09:13
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:20 , Processed in 0.063015 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块