在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (138) |订阅

数字IC设计讨论(IC前端|FPGA|ASIC) 今日: 7 |主题: 30083|排名: 8 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
投票 [求助] 该学哪门语言?SystemVerilog VS SystemC  ...23456..26 albe2t 2011-11-22 255131169 yuanpin318 6 天前
投票 [求助] 华为海思&MTK&龙芯&华芯通&上海复旦微电子&高拓迅达  ...23456..18 komatsu001 2016-10-27 175111506 Trlest 3 天前
[求助] Verilog testbench如何寫?? attachment  ...23456..15 chiu123 2010-7-18 14929240 justfigo 2015-10-7 10:48
[求助] 一道ASIC设计笔试题,求大神 attach_img  ...23456..9 超群天晴 2012-10-29 8924118 rlatnrud0310 2013-5-15 02:23
[求助] 怎么推算出来CRC计算的并行算法 attachment  ...23456..8 mosou 2010-6-27 7221416 ssexpo1046 2021-4-30 16:55
[求助] 求助,linux下modelsim license的问题  ...23456..7 terrylizi 2010-1-23 6935265 bahramrn 2023-11-7 00:34
[求助] 异步时钟域,快向慢用什么方法?慢向快? attach_img  ...23456..7 ll72427 2012-8-31 6937645 justshuashua 2021-4-21 16:11
[求助] FPGA+外部PHY+SFP光口问题 attach_img  ...23456..7 saigu 2015-7-15 6832211 seupenn 2023-5-10 17:11
[求助] 求教:XILINX:DDR3 IPCORE--MIG attach_img  ...23456..7 曾经擦肩 2012-3-13 6335292 ControllerA 2017-5-6 17:34
[求助] verilog中if else和case语句有什么区别? attachment  ...23456..7 MohanGrace 2013-4-26 6343563 yasimi 2023-10-26 09:34
[求助] 深圳\上海\北京\杭州-VIVO数字前端\架构\验证相关职位,薪资40~80W+期权股票 attach_img  ...23456..7 jx87212 2020-3-16 6010289 jx87212 2020-7-28 17:13
[求助] 工作中用FPGA 年了,一点感受  ...23456 wyqkiss 2010-7-3 5912076 snowinmoon 2011-8-18 15:14
[求助] 定点实数乘法的FPGA实现  ...23456 wang182004 2010-7-30 599329 wang182004 2010-11-16 21:18
[求助] DC综合问题 attachment  ...23456 hit0821201 2013-5-18 5720578 easonchan 2017-7-16 15:51
[求助] 请问这小段代码这么写的用意?  ...23456 psd0208 2009-12-31 559960 xnjd12566 2010-6-11 17:16
[求助] SD卡的初始化过程  ...23456 dabenzhong 2012-5-14 5523382 新嘴小王安子 2018-12-21 15:48
[求助] 想写一本fpga的书,不知道放在哪个论坛,读的人多些  ...23456 easyma 2013-3-17 5512068 水泥 2014-12-10 14:56
[求助] DDR2 controller求助  ...23456 l2002924700 2012-2-29 5322037 abner_cheng 2017-11-7 12:18
[求助] FPGA实现UDP/IP协议 attach_img  ...23456 yanqiang231 2012-9-6 5324060 stream303 2017-12-22 17:23
[求助] [verilog] Verilog语言的编写  ...23456 甲壳虫 2016-4-7 5310745 zombes 2016-6-5 13:18
[求助] 本科进华为海思能干嘛。。。  ...23456 thanksoar 2011-9-7 5123620 CC长兴 2014-11-25 22:36
悬赏 [求助] 小弟本科集成电路专业,现在面临选择数字ic设计前端和后端的方向选择,求指教 - [已解决]  ...2345 ch_5588 2010-12-13 5023454 tangyuxiang 2016-6-29 23:38
[求助] 求教DEMOS和LDMOS的工作原理 attachment  ...23456 seuzhangrui 2013-9-1 5135984 神采-飞扬 2024-4-2 18:15
[求助] e指数和对数的verilog实现 attachment  ...23456 zhangbinbin92 2014-8-6 5023634 是嘉人啦 2024-1-4 15:24
悬赏 [求助] 哪位大神指导下我 让我成功驱动这块芯片 在输出端打出时钟信号 - [悬赏 150 信元资产] attach_img  ...2345 pandapigwtj 2017-6-27 5014350 pandapigwtj 2017-7-13 11:45
[求助] 关于异步复位讨论 attachment  ...2345 vikingg 2010-4-30 4912404 bob_haohao 2012-4-12 12:12
[求助] ASIC设计理论与实践——RTL验证、综合与版图设计 新人帖 attachment  ...2345 ali_sun 2022-9-12 497678 Ethan7576 2024-3-27 10:56
[求助] 换工作后要不要把自己的所得全部交给接手的人呢?  ...2345 shiyinjita 2012-3-9 479142 silencefpga 2012-4-17 16:29
悬赏 [求助] Vivado的debug core怎么用? - [已解决] attach_img  ...2345 linghuqiubai 2015-5-27 4757456 Emmet_73 2023-12-5 21:45
[求助] Virtex-II 配置回读问题 attach_img  ...2345 zaner 2015-10-24 4710109 dreamfly123123 2017-12-12 11:51
[求助] xilinx 的BUFG 在综合和布局布线的时候如何正确添加约束 attachment  ...2345 一力为侵 2013-3-1 4616429 micdot 2018-6-27 11:41
[求助] verdi软件使用,波形背景颜色 attachment  ...2345 9065574912 2014-12-22 4620005 wangyuankun 2023-12-21 20:48
[求助] 转行做数字IC,谢谢推荐几本书哇  ...2345 jium007 2010-8-1 4514846 xd_laoxie 2016-5-1 11:42
[求助] quartus 10.1问题?求救 attach_img  ...2345 307002151 2011-1-3 4514033 cmjkxz 2015-6-17 20:51
[求助] 关于晶振回路的问题  ...2345 lyu265 2010-1-12 427057 xinwenjygo 2010-6-12 14:07
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:43 , Processed in 0.046455 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块