在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (135) |订阅

数字IC设计讨论(IC前端|FPGA|ASIC) 今日: 15 |主题: 30028|排名: 10 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) jackzhang 2024-3-21    
[原创] 求DDR3 仿真环境 支持AXI&AHB访问 QQ 3564625112 New levan000 6 天前 0122 levan000 6 天前
[原创] 有没有人分享下加密RTL的Candence public key attach_img New lailee 6 天前 3208 student321 6 天前
[原创] 基于ZCU104的PS和PL交互教程 新人帖 attachment shuiliu 2024-3-19 2167 icroad 7 天前
[原创] 如何学好数字IC前端设计 新人帖 战绽 2024-3-14 8335 皖南坤势 5 天前
[原创] 用latch搭的memory怎么解决毛刺问题呢 cyannn 2024-3-13 0118 cyannn 2024-3-13 17:49
[原创] Spyglass CDC检查脚本模板 attachment ICer_hao 2024-3-6 8321 jiangyoucoco 昨天 14:31
[原创] diamond 破解工具 tian10 2024-2-21 1234 xie402050431 2024-2-23 14:02
[原创] 这么多培训班,有没有讲DSP+FPU的培训班啊? orientview 2024-2-5 5426 kk2009 2024-2-21 02:00
[原创] m2芯片的能效比,为什么特别优秀? orientview 2024-2-2 1364 sandy1985 2024-3-16 23:01
[原创] Xilinx FPGA NVMe主机控制器IP,高性能版本 attach_img axpro 2024-2-1 1258 murphy522 2024-2-1 13:58
[原创] Malogic FPGA Board 全部Code和资料上线 attach_img dodoee 2024-1-30 1304 dodoee 2024-1-31 09:16
[原创] BT5.4 Release_Note attachment qianqian123 2024-1-20 3340 qianqian123 2024-1-23 18:31
[原创] BT5.4 Release_Note qianqian123 2024-1-20 3256 qianqian123 2024-1-22 14:14
[原创] verdi 断言 新人帖 popkok 2024-1-19 2421 Gavin-35 2024-1-24 18:52
投票 [原创] 大家过年放假都有几天? liguchu 2024-1-18 4891 murphy522 2024-2-22 17:28
[原创] 什么情况下不用多晶电阻,用有源电阻或者阱电阻 新人帖 白驹 2024-1-16 0255 白驹 2024-1-16 20:23
[原创] wifi6  ...2 qianqian123 2024-1-15 10628 binnq 2024-2-1 14:00
[原创] 最近写了一个psram controller whatismoney 2024-1-15 2369 Liang_Xiaoqian 2024-1-26 09:52
[原创] 这个项目就是当时的总监说打算几个月做出来的 dodoee 2024-1-14 1618 rvisk 2024-1-24 08:39
[原创] 求wifi6 ip lxtx603 2024-1-13 1405 lxtx603 2024-1-17 15:44
[原创] 前端设计的代码优化 数学必考150 2024-1-12 6609 naNo_cc 2024-2-21 09:38
[原创] Malogic FPGA Board 优惠活动 attach_img dodoee 2024-1-11 2284 dodoee 2024-1-12 08:18
[原创] 设了multicycle又设了异步会有啥情况出现 马奔 2024-1-8 1259 hxy2018 2024-1-8 12:04
[原创] 国企 急需验证负责人 北京 微电子猎头 2024-1-5 0327 微电子猎头 2024-1-5 17:21
[原创] generate clk 定义在output port(PAD)上 新人帖 iatach 2024-1-3 2300 iatach 2024-1-8 14:38
[原创] 从以太网或者wifi进来的数据CPU 如何接收? badegg3 2023-12-17 10459 atsga 2023-12-24 23:44
[原创] 时钟和数据的相位关系如何分析 新人帖 sunev 2023-12-12 0277 sunev 2023-12-12 15:15
[原创] ptpx peak power 比 total power低 新人帖 jiebibiebi 2023-12-8 0276 jiebibiebi 2023-12-8 16:39
[原创] 孩子都能学会的FPGA:第十五课——不同频率正弦波的叠加 attach_img chdaj58 2023-11-29 0338 chdaj58 2023-11-29 13:51
[原创] 孩子都能学会的FPGA:第十一课——基于握手机制的跨时钟域同步 attach_img chdaj58 2023-11-29 1405 西西在冰城 2023-11-29 09:53
[原创] 孩子都能学会的FPGA:第十课——UART通信增加CRC校验 attach_img chdaj58 2023-11-29 0369 chdaj58 2023-11-29 09:16
[原创] 孩子都能学会的FPGA:第十四课——FPGA的乒乓操作 attach_img chdaj58 2023-11-28 1548 Ahungry_man 2024-1-2 09:52
[原创] 孩子都能学会的FPGA:第十二课——利用内存产生正弦波 attach_img chdaj58 2023-11-27 2382 chdaj58 2023-11-29 09:24
[原创] 孩子都能学会的FPGA:第十三课——利用ROM缓存实现DDS发送器 attach_img chdaj58 2023-11-27 0364 chdaj58 2023-11-27 16:41
[原创] 解决“Design Compiler is not enabled. (DCSH-1)”问题 bupt_tian 2023-11-26 6426 FrozenlipX 2024-3-15 09:41
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 17:20 , Processed in 0.024549 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块