在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (138) |订阅

数字IC设计讨论(IC前端|FPGA|ASIC) 今日: 4 |主题: 30071|排名: 12 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
悬赏 [求助] vivado 中调用uart—lite IP核与PC串口通信问题 - [悬赏 200 信元资产] attach_img naijgnay 2015-10-2 1017971 edwardk 2018-12-18 15:50
悬赏 [求助] 求组FPGA里的bank具体是个什么意思?我只知道电压不同 - [悬赏 10 信元资产] attach_img 箜篌 2011-9-27 917992 ranqi 2021-1-22 22:25
悬赏 [求助] calibre2015安装问题,如图,求教如何解决 - [悬赏 500 信元资产] attach_img  ...234 cyx0610 2016-4-4 3116630 jeffej 2021-8-6 10:05
悬赏 [求助] verdi安装不成功 运行license出问题 - [悬赏 500 信元资产] attach_img  ...2 yuanhong96 2017-4-12 1314299 jiangwencheng 2023-7-30 13:35
悬赏 [求助] 哪位大神指导下我 让我成功驱动这块芯片 在输出端打出时钟信号 - [悬赏 150 信元资产] attach_img  ...2345 pandapigwtj 2017-6-27 5014139 pandapigwtj 2017-7-13 11:45
悬赏 [求助] 请教关于Signal Processing Designer的安装... - [悬赏 70 信元资产] attachment wen1wu9 2010-6-26 714008 frinos 2012-4-4 09:28
悬赏 [求助] offer选择急救,该选择新思Synopsys还是华为 - [悬赏 100 信元资产]  ...23 fuxiaolicaicai 2019-10-11 2213658 randolpha 2021-8-24 19:37
悬赏 [解决] quartusII曼彻斯特码解码,波形仿真怎么搞不出来呀,, - [悬赏 50 信元资产] 364366191 2010-10-26 512477 glenchan 2010-10-28 14:28
悬赏 [原创] 集成电路静态时序分析与建模-刘峰 - [悬赏 2 信元资产] attachment  ...23456 songsong001 2021-5-10 5711932 Cardo 2023-6-20 19:49
悬赏 [讨论] 在Xilinx FPGA中如何使用BRAM代替LUT资源 - [悬赏 1000 信元资产] attach_img naijgnay 2015-12-1 911177 zzj0329 2019-9-10 13:08
悬赏 [求助] 求v4的XC4VFX140的配置芯片解决方案 - [悬赏 50 信元资产] gestybear 2011-6-20 110813 110500623 2011-6-24 09:39
悬赏 [求助] 后仿真反标SDF问题 - [悬赏 100 信元资产]  ...2 亭ting 2019-10-21 1910309 zls8874 2023-10-9 15:52
悬赏 [求助] SATA HOST 传输层要完成哪些状态机? - [悬赏 200 信元资产]  ...23 goswami 2014-8-28 218503 jxlannie 2016-11-22 17:34
悬赏 [求助] Verilog加密后的VP文件如何解密 - [悬赏 100 信元资产]  ...2 buzhou2006 2020-7-14 178435 murphy522 2024-2-1 09:47
悬赏 [求助] lattice利用vcs仿真 库添加不进去问题 - [悬赏 200 信元资产] chenlidong 2020-2-8 98369 chenlidong 2021-12-13 14:03
悬赏 [求助] XILINX FPGA 中如何实现一个信号的几纳秒的延时 - [悬赏 20 信元资产]  ...2 从这一刻信仰 2016-7-10 168431 faker_song 2017-12-19 17:34
悬赏 [求助] Xilinx ISE 例化一个 RS编码 IP核 时不能综合? - [悬赏 100 信元资产] attach_img  ...2 naijgnay 2015-8-20 118194 lhbjcn 2021-5-22 19:54
悬赏 [求助] verdi提示内存不足,如何解决? - [悬赏 100 信元资产]  ...2 禅师1988 2012-2-24 168028 orlye 2013-3-16 14:05
悬赏 求8*8的DCT算法verilog的RTL级描述 - [悬赏 50 信元资产] mqyucn 2008-6-3 67655 apptone 2010-12-17 13:28
悬赏 [求助] fpga管脚状态 - [悬赏 20 信元资产] 12089044 2013-8-27 77399 jokeshe 2013-8-28 21:59
悬赏 [求助] 求教大神!xilinx,GTX,7 Series FPGAs Transceivers Wizard数据传输问题 - [悬赏 500 信元资产] attach_img  ...2 scratdqy 2015-7-28 177429 荒漠小草 2019-7-9 21:04
悬赏 [求助] Altera FFT IPCore的输出source_exp不在其官方文档的指定范围内 - [悬赏 500 信元资产] attach_img larlyii 2013-6-20 67316 andrewqu 2016-4-9 21:50
悬赏 [原创] IC设计方向选择(SOC和IP) - [悬赏 20 信元资产]  ...234 l6557088 2021-9-8 357299 xuyifan 2024-3-24 21:29
悬赏 [求助] dc综合问题求助 - [悬赏 10 信元资产] jenny_guo 2009-12-18 77150 gracefulhe 2012-8-18 09:59
悬赏 [求助] 关于PCIe完成报文乱序的问题 - [悬赏 300 信元资产] xduryan 2015-8-17 56694 鸽子 2023-7-19 17:47
悬赏 求基2的SRT算法除法器(verilog原代码) - [悬赏 5 信元资产] dillion448 2007-12-11 36343 mxic 2010-4-9 10:33
悬赏 [求助] 悬赏1000¥求助,cyclone IV,怎样才能从普通IO输入时钟到内部PLL - [悬赏 20 信元资产]  ...2 fzfh1219 2016-11-12 116195 gubels 2017-1-28 01:59
悬赏 [求助] check_timing老问题:unconstrain - [悬赏 100 信元资产] attach_img  ...2 w_HFUT 2020-7-20 116144 flyskyseu 2023-12-21 14:13
悬赏 [求助] 神马情况,输入信号怎么会被弄到IODELAY模块里过了一圈呢? - [悬赏 1 信元资产] attach_img colorjuncn 2013-5-20 66143 hujunilove 2013-7-17 14:08
悬赏 [求助] SRAM 选型和power分析求助 - [悬赏 1000 信元资产]  ...2 yaya126 2016-5-5 136051 晴川 2017-12-26 23:13
悬赏 [原创] 以太网接口和USB接口 - [悬赏 2000 信元资产] y456321 2013-4-10 95962 追梦的黎明 2016-3-10 17:32
悬赏 [求助] GPIO中断 - [悬赏 10 信元资产] picassoye 2010-6-21 85927 picassoye 2010-7-8 15:14
悬赏 [求助] 求教一个fpga复位的问题 - [悬赏 100 信元资产] attach_img  ...2 xduryan 2016-7-11 185947 yt920419 2016-7-12 16:38
悬赏 [求助] 哪位可以提供eDP1.4的标准文档吗? - [悬赏 1000 信元资产] attachment  ...2 snq31418 2020-1-9 155949 crazy_py 2023-8-11 17:34
悬赏 [求助] 在安富利购买下载线很受伤,请大家帮忙出主意! - [悬赏 10 信元资产] helte320 2011-9-26 75967 kl_upc 2024-4-1 10:17
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 13:30 , Processed in 0.041878 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块