在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (135) |订阅

数字IC设计讨论(IC前端|FPGA|ASIC) 今日: 15 |主题: 30028|排名: 10 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) jackzhang 2024-3-21    
[原创] PCIE x4 Gen2 高速数据传输, 包括所有源代码,驱动和PC端程序 attach_img agree  ...23456..307 gvi-tech 2015-12-21 3070298503 yuan_z_ 昨天 16:17
[原创] 工作10年的工程师的心得  ...23456..60 dodoee 2009-11-12 597120118 李婷婷 2023-10-27 09:27
[原创] 个人写的DDR3的工作原理,欢迎大家拍砖! attach_img  ...23456..46 dzplay 2015-5-23 458128384 飞龙啸天1 2024-3-7 09:52
[原创] 两个剧牛的数字电路——异步时钟切换和倍频 attachment  ...23456..35 wice3 2007-1-25 344136071 lazawei 2023-10-26 15:39
[原创] IC前端设计絮论 attachment  ...23456..33 jackertja 2011-5-3 324101267 lmc15063013 2023-4-4 20:03
[资料] Vivado使用误区与进阶 PDF attachment  ...23456..30 zhouph 2016-8-3 29780993 qwe9991 2023-12-19 20:23
[原创] low power RTL 设计优化 attach_img  ...23456..30 yaya126 2017-9-9 292123588 FrozenlipX 2024-3-12 13:43
[原创] 数字典型电路知识结构地图,请大家参考,也希望积极补充! attach_img agree  ...23456..30 rosshardware 2018-9-4 295119650 silent_speak 3 天前
[原创] 数字集成电路设计,我的梦 agree  ...23456..28 aidepei 2008-12-3 278113333 cq20 2021-12-2 21:31
[讨论] 自己写的DDR2控制器(含PHY)在FPGA上跑到1066了【27楼更新手动PR/时钟树介绍】 attachment agree  ...23456..24 Timme 2012-8-10 239116245 芯星 2021-6-7 20:26
[原创] 中国高科技行业现状 agree  ...23456..23 dodoee 2011-1-26 22190888 li_john 2022-4-2 22:00
[原创] 数字IC工程师的技能树 agree  ...23456..21 arthur_wang_orz 2012-2-3 20994746 vegetableno1 2022-8-22 11:18
[原创] 基于FPGA之低速协议设计实验手稿及源码 attachment  ...23456..19 qinzhanao 2015-8-5 18971584 苦学僧 2022-8-25 17:29
[原创] 关于AHB总线verilog代码实现(design篇) attachment  ...23456..19 hbhbts 2013-12-5 18894078 夏尔 2024-1-26 14:15
[原创] 用FPGA实现简单的UDP/IP通信 agree  ...23456..19 dogbear2245 2017-1-16 18072619 wenbow512512 2022-2-20 16:58
FPGA与88E1111千兆以太网实现—硬件 attach_img  ...23456..17 topggmq 2014-11-25 16867002 zero_xyz 2020-5-1 11:07
[原创] 基于FPGA的千兆以太网UDP 硬件协议栈 attachment  ...23456..16 eebinqiu 2016-2-15 15960585 im.leo 2024-1-10 16:45
[原创] 我对IC设计流程的一些理解(数字IC部分)  ...23456..16 zlmdmeail_80 2007-10-9 15770328 yuyu0907 2023-6-28 10:44
[原创] 基于MicroBlaze软核的嵌入式C编程经验总结 attachment  ...23456..15 FPGAASICII 2012-5-8 14747487 WWJun 2022-6-28 15:49
[讨论] 今天华为面试题:异步FIFO读时钟是写时钟的100倍,或者写是读的100倍会出现什么问题? attach_img  ...23456..15 hiwzy 2016-9-11 14288187 Ayala058 2023-9-13 15:31
[原创] 系统设计师才是研发的最终出路  ...23456..15 ertss 2011-9-10 14056814 wjfocus1990 2018-3-28 21:27
[原创] Verilog基本电路设计之一(单bit跨时钟域同步) attach_img  ...23456..14 杰克淡定 2016-6-15 13190381 Ali0617 2022-12-7 10:18
[原创] 跟着我从零开始入门FPGA(一周入门XXOO系列) attach_img agree  ...23456..13 McuPlayer2013 2013-3-26 12573042 xutao8 2022-10-10 17:21
[讨论] IC设计十年,这个账户12年,大家有啥想问的随便问,大牛路过就好,主要是新人们  ...23456..13 rvisk 2017-12-15 12053731 lanse000 2021-6-28 22:37
[原创] 千兆以太网 TCP, UDP协议, FPGA实现 attachment  ...23456..12 eebinqiu 2016-2-1 11659555 chinest2010 2023-7-26 15:03
[原创] Verilog基本电路设计之二(时钟无缝切换) attach_img  ...23456..12 杰克淡定 2016-6-16 11568583 ty_xiumud 2021-7-30 11:03
[原创] 分享我的h.264 decoder, Verilog源码与工程 attachment  ...23456..12 eebinqiu 2017-5-24 11561432 disappear123 2022-10-29 23:45
[原创] FPGA的用途及前进之路  ...23456..12 henghengnana 2011-8-29 11072242 xiaowuzxc 2023-1-18 13:35
[原创] “10010”序列检测器的状态是7个还是5个? attach_img  ...23456..10 KevinIC 2011-6-1 9462816 cykablyat 2019-5-17 15:41
[原创] 9年FPGA工作总结,苦海无涯,穷逼多  ...23456..10 sadlife1000 2016-12-10 9453661 xxf1813 2022-12-30 17:36
FPGA与88E1111千兆以太网实现—软件1(收发无协议数据) attachment  ...23456..10 topggmq 2014-11-25 9332334 chinagame729 2017-5-15 16:17
[原创] 说说芯片设计这点事 (未完) attachment agree  ...23456..9 vista2002 2012-7-8 8941793 wxqy_anita 2023-1-27 08:28
参与XILINX ZYNQ有奖问答赢取LX9开发板,FPGA图书,200信元! attach_img  ...23456..9 jackzhang 2014-1-16 8818582 ym2012 2014-8-11 21:22
关于fifo深度问题  ...23456..9 windzjy 2007-1-14 8646121 h9f3 2017-10-17 12:34
[原创] FIFO使用技巧  ...23456..9 lucien_1986 2011-10-30 8644670 Yuanlaii 2022-9-12 16:18
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 18:04 , Processed in 0.021038 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块