在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (124) |订阅

后端资料区 今日: 1 |主题: 5683|排名: 6 

1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
[讨论] 112g phy 新人帖 attachment  ...23 qianqian123 2024-1-13 201165 lxtx603 2024-3-22 13:52
[讨论] 求一个支持DC_Ultra的license破解工具 attach_img  ...2345 z8369171 2017-6-16 4113884 suchang 2023-11-22 14:42
[讨论] 芯片IO 端口驱动和负载设置(set_input_transition/set_load) attach_img yudaming 2023-10-13 0426 yudaming 2023-11-7 14:05
[讨论] DC逻辑综合 yudaming 2023-10-11 0377 yudaming 2023-10-11 17:08
[讨论] set_clock_latency讨论求助 yudaming 2023-10-11 0345 yudaming 2023-10-11 16:05
[讨论] Physical synthesis pradeepmadhava1 2017-11-21 21744 smc1017 2023-3-3 19:23
[讨论] 个人对论坛的现状感觉  ...2 liude19832006 2011-12-29 146838 121082320 2023-2-22 16:52
[讨论] pocv和set_timing_derate 23343woaiic 2023-1-18 0863 23343woaiic 2023-1-18 16:41
[讨论] 【爱芯人培训,严正声明】针对行业不正当竞争事件的思考--写给E课网的几点忠告 attachment  ...2 chipyyp 2016-5-18 1513221 zw1035961900 2022-11-25 12:12
[讨论] 求2018-2022年的starrc 的student workshop 感激不尽!!! 新人帖 yiachieve 2022-4-16 01926 yiachieve 2022-4-16 10:18
[讨论] IC compiler ii ( K-2015.06) license?? rijils 2016-7-6 94897 frankie9 2021-12-26 11:17
[讨论] 想在深圳搞个工程师座谈,全网直播 idbi 2021-12-13 51516 zsen 2021-12-18 15:47
[讨论] 求自己安装过ICC的朋友们指导一下(本人菜鸟一个)  ...2 zzjseu 2013-4-15 107331 txwd_0111 2021-10-10 19:18
悬赏 [讨论] http://bbs.eetop.cn/thread-911611-1-1.html - [悬赏 20 信元资产] 太阳 2021-9-18 01900 太阳 2021-9-18 09:53
[讨论] 功耗分析文档~~~看论坛里谈及得很少,前来科普一下~~~欢迎取阅 attachment  ...23456 Synopsys-IC 2012-12-7 5711715 helen_wang85224 2021-7-13 14:01
[讨论] sentaurus TCAD pritam 2013-9-10 22281 pritam 2021-7-1 21:37
[讨论] 功耗分析资料参考书推荐 wenfangsibao 2021-4-29 11405 OLED721 2021-5-24 17:09
悬赏 [讨论] encounter(EDI)13.1 workshop 2 & workshop 3 - [悬赏 200 信元资产]  ...2 rijils 2016-5-9 117099 vsop 2021-3-4 12:53
[讨论] Synopsys Generic library 123gmax123 2015-2-27 63137 xinc 2020-12-4 19:35
[讨论] calibre有什么新用法 新人帖 兰亭随笔 2020-11-14 01580 兰亭随笔 2020-11-14 10:04
[讨论] SVS LVL 总结分享 新人帖 attachment doudoumama2003 2020-8-19 22063 bm57145974 2020-8-20 18:23
[讨论] design compiler 中DCG—SPG流程  ...2 531472320 2012-9-19 1415549 nkmichael_hu 2020-6-11 11:46
[讨论] Metal fill pradeepmadhava1 2017-11-21 51767 tvman2015 2020-2-26 21:05
[讨论] 关于DC估算功耗的疑问 西门电工 2020-1-14 11395 netshell 2020-1-17 19:57
[讨论] 255255 - [阅读权限 255]attachment 谢谢侬 2019-12-28 257 小海滩 2019-12-28 12:43
[讨论] Ndr during placement pradeepmadhava1 2017-11-21 61846 iNostory 2019-12-18 13:13
[讨论] 边境之云走进缅甸探秘小勐拉皇家赌场 新人帖 菡秀苏雨鸾 2019-5-20 01383 菡秀苏雨鸾 2019-5-20 16:21
[讨论] installer scl yuhaoh 2015-11-4 11924 napoleonlijun 2019-4-27 22:26
[讨论] 论坛出问题了? attachment  ...2 星辰0000 2019-4-22 122638 星辰0000 2019-4-26 10:49
[讨论] T28以下的EFP rule有什么好办法解决吗? gsbean 2019-3-8 11890 15192534422 2019-3-20 17:50
[讨论] Question: Redhawk setup guide on Linux saman101 2017-1-2 11708 ns2030 2018-10-14 13:10
[讨论] trail route 与 global detail route 的区别 2547685617 2018-10-13 02139 2547685617 2018-10-13 15:18
[讨论] 如果一个项目的setup 比较紧,后端工程师需要前端工程师在设计时做些什么 jiajuntree 2018-8-14 41790 教父 2018-9-12 17:24
[讨论] Innouvs的import design里面为什么没有吃timing lib 的选项啊? ghoastjeff 2018-8-16 31974 教父 2018-9-12 17:19
[讨论] 求一个把Abstract生成的lef pin文件转成Encounter/Innovus 格式的Pin.io文件 ghoastjeff 2018-8-17 22343 maomao198477 2018-8-18 09:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 04:13 , Processed in 0.019847 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块