在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (12) |订阅

SOC讨论区 今日: 0|主题: 1140|排名: 112 

[求助] How to synthesize register array? caomin1984 2010-12-6 63078 bruce1914 2011-4-28 01:14
[求助] 大家讨论一下,设计一个SoC如何做带宽需求分析? m006 2011-1-5 22260 q1w2e3p0o9i8 2011-4-26 15:50
[求助] transaction level modling for systemc 中 bit true 什么意思 liuyaohui1 2011-1-5 22596 135195 2011-4-17 10:29
[求助] 关于芯片调试中JTAG的问题(硬件篇) kuxingsengnoi 2010-12-31 22477 elliot_gao 2011-3-27 13:16
[求助] 关于AlteraFPGA,AS配置方式求助 firingcloud 2010-11-29 310581 cgssuccess 2011-2-24 21:51
[求助] 1111111111111 askermm 2011-1-22 22211 sdwsh 2011-1-23 17:02
[求助] AHB 总线未定长度增量突发 总线移交问题 xjtuwsf 2010-11-23 23801 skyscq 2011-1-21 18:21
[求助] 关于JTAG xuer_1975 2010-7-12 62841 skyscq 2011-1-21 17:42
[求助] SOC的ATPG测试有谁了解,能够推荐一些论文 chinagator 2010-12-15 32623 vivio 2011-1-18 23:05
[求助] 请教一下,在ahb总线规范中的增量突发和回环突发分别是什么意思? gerry1812 2010-11-17 13807 XIAOZZ 2011-1-14 21:11
[求助] 谁有DDR3 204pin 的热模型 slenderluck 2010-11-16 21953 slenderluck 2010-12-14 17:25
[求助] 有关system c 版本的问题 shaiyyt 2010-11-17 22448 heguo1983@hot 2010-12-1 15:07
[求助] AHB突发模式请教 gerry1812 2010-11-29 22745 gerry1812 2010-12-1 00:05
[求助] 如何控制niosii里面调用出来的lan91c111 爷是聪聪 2010-7-28 33190 anplayboy 2010-9-20 15:24
[求助] ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ wanna 2010-8-28 12089 scutllg 2010-8-29 21:54
[求助] 系统芯片设计选课问题 gy19871108 2010-7-5 92853 songw 2010-8-29 10:11
[求助] xilinx+linux wangxmu 2010-7-28 12099 ningwei8027 2010-8-28 18:36
[求助] 如何降低Q值 子铭 2010-7-30 33446 pzc007 2010-8-4 08:42
[求助] 怎么判断主机是否关机 liukf2000 2010-5-13 43831 gbsid 2010-7-11 17:06
[求助] 有用过Faraday FIE3369开发板的吗? yx.yang 2010-7-7 03049 yx.yang 2010-7-7 17:08
[求助] 怎么在systemc官网上申请帐户 liuyaohui 2010-6-4 02881 liuyaohui 2010-6-4 19:08
[求助] 求 altera monitor program 的下载方式 zst221000 2010-3-28 13196 winsam33 2010-5-15 23:27
[求助] NNCF design skf bearing have three integral flanges on the inner ring abcelwfJ 2010-4-23 02360 abcelwfJ 2010-4-23 12:21
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 09:38 , Processed in 0.030369 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块