在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (125) |订阅

后端讨论区 今日: 24 |主题: 20807|排名: 8 

[求助] virtuoso新建库的时候加tf文件的时候出现如下的报错。很多层都不显示 attach_img  ...234 zhaon1129 2013-5-29 3112547 AOHONGKEJI 2021-5-12 14:23
[求助] 为什么我用gds做mw和lef做mw的frame会不一样呢! attach_img  ...234 zg8312 2013-6-14 3113039 Zhangiri 2023-10-12 17:07
[求助] 请教 关于global skew 和 local skew attach_img  ...234 stone101 2013-10-29 3117796 拉比克 2021-9-29 16:15
[原创] 试用CCOpt Native三天的心得体会 attach_img  ...234 Timme 2014-5-29 3119944 李彬219 2017-11-28 15:47
[求助] 关于SMIC130的memory compiler  ...234 杀手1983 2015-5-19 3111594 18706400931 2019-2-24 15:27
[求助] 哪位大牛有ICC2 2018 之后的user guide ? attachment  ...234 corner_26 2020-8-18 329206 devane 2024-3-13 22:09
[求助] 给ip pad和core供电的power,需不需要分别单独设电源环??  ...234 zczc999 2010-5-2 3018332 sunhongwei 2022-7-27 14:18
[讨论] 研究生毕业数字后端进能进哪些公司  ...234 ghonghu 2010-6-15 3011316 天外飞雪 2011-6-8 14:21
[求助] Register Retiming这个有中文版或是有人看过没? attachment  ...234 追天鹅的青蛙 2010-7-10 3015352 chinaqu 2023-12-25 12:34
[求助] 求达人们指点DC综合面积报告问题  ...234 realtina 2010-7-15 3017705 dimlight1998 2023-8-16 16:45
[求助] encounter 阻塞消除  ...234 conniezhou 2011-3-11 3012525 skjeon78 2016-1-25 18:06
[求助] 关于encounter 和ICC 的问题  ...234 天外飞雪 2011-10-12 3015889 shaozhen 2020-12-9 15:27
[求助] lef和def的区别?  ...234 xidianhjh 2011-10-18 3032553 兔子IC 2023-2-7 10:35
[求助] 公司裁员了,求工作  ...234 龙溪小泮 2011-12-30 306812 steve2011 2013-6-3 17:22
[求助] 数模混合版图LVS attachment  ...234 magicalmuzhuzhu 2012-3-28 3015336 wx148520 2018-3-20 10:23
[求助] 【已解决】EM能力  ...234 ppseuradio1 2012-4-11 3117785 dfhappyforever 2023-9-20 19:20
[解决] fanout和area违例问题  ...234 cxj2010 2012-10-10 306602 supermachao 2013-5-14 21:58
[求助] PrimeTime读取sdf报错  ...234 edayz 2012-10-12 3015130 六月飞雪Lee 2021-6-27 18:14
[求助] 面试被问到:增加decap会减小IR_drop,会带来什么影响?  ...234 zhangqiong137 2012-11-7 3022612 lulalu2005 2023-10-24 15:54
[求助] 请教一下double pattern 和 forbidden pitch的意思 attachment  ...234 oneway 2014-8-20 309941 somal 2019-10-14 16:00
[原创] ICC2-flow求助  ...234 muyu8780 2016-5-5 3021113 fangwang85 2023-7-12 09:29
[资料] 800页:EDF For IC Implementation, Circuit Design, and Process Technology attachment  ...234 qaf98 2018-5-26 306568 fengrlove 2021-11-13 15:42
请教关于standard & I/O cell layout  ...23 tyo_pa 2006-12-25 297332 xjh1508121978 2015-10-8 11:23
pc 版版图编辑工具Ledit破解版  ...23 benweiwu 2008-1-12 2913133 kwinlly 2016-8-13 11:33
IC COMPILER工具的探讨!  ...23 corefish 2008-8-22 296748 潇洒的蛋壳Chris 2012-9-22 10:35
Mbistarchitecture产生各种类型的memory bist  ...23 yuzhicai 2008-12-13 2913086 cuiyu1981 2021-10-20 14:25
celtic 的cdB文件怎么得到?  ...23 sjingf 2009-5-22 2912255 hadeheng 2020-10-24 12:44
[求助] create_generated_clock  ...23 X6J6P6 2010-3-25 2920285 litongzhou 2021-10-13 16:01
[求助] verilog仿真是用什么工具好啊??VCS和modelsim??  ...23 zh123456789 2010-7-11 2919404 zampple 2021-12-8 16:13
[求助] 请教一个关于CTS时插入buffer过多的问题  ...23 haonan 2011-2-23 2910974 wrxs 2019-8-3 16:35
[求助] 关于bc_wc和ocv的区别?  ...23 X6J6P6 2011-3-20 2923123 漂亮的瓶子 2018-12-13 10:35
投票 [活动] 数字后端工具使用情况  ...23 liuily206 2011-4-15 298413 liucaojin 2011-7-27 18:08
[求助] 关于DC的optimize  ...23 12kids 2011-9-11 2914185 lzqxiang 2021-6-18 17:45
[转贴] EDA江湖传奇:Cadence对决Avanti  ...23 陈涛 2011-12-2 2912024 hadeheng 2020-10-28 21:07
悬赏 [求助] DFTC的脚本命令 - [已解决] attachment  ...23 fl_5588 2012-1-15 2922701 surveillant 2022-11-27 19:53
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:16 , Processed in 0.054157 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块