在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (86) |订阅

IC验证讨论 今日: 0|主题: 6490|排名: 24 

[求助] verdi 报错无法打开波形 菖蒲_ 2024-1-18 0188 菖蒲_ 2024-1-18 10:13
[求助] TSMC Flash IP 的使用问题  ...2 wuxiuying18 2018-11-16 147123 j635 2024-1-17 14:39
[求助] 寄存器模型配置DUT寄存器问题,谢谢大家 新人帖 起个名字好难啊 2024-1-10 2287 851018986 2024-1-17 10:53
[求助] vcs assertion failed "thread" at line 1167 in file veralite_thread.c 新人帖 planckgh 2023-11-17 1288 adan313 2024-1-15 14:51
[求助] 新人求助,想要使用dve查看波形时为什么选择不了信号? icstudywsq 2024-1-7 1225 AlvinRolling 2024-1-11 19:34
[求助] 新思vip-ahb中打印的激励信息如何屏蔽? attach_img became_an_icer 2024-1-10 5232 erwang 2024-1-10 22:57
[求助] FSDB FATAL ERROR, Rewinding time is prohibited attach_img boybeyond 2020-8-31 52445 zhengdengdeng 2024-1-10 16:58
悬赏 [求助] VCLP读取网表时报错如何解决 - [悬赏 36 信元资产] SGengiant 2024-1-9 0261 SGengiant 2024-1-9 17:23
[求助] xcelium仿真怎么使用vcs加密的代码? zhiwenhao 2024-1-8 3232 zhiwenhao 2024-1-9 16:32
[求助] VCS报错:Found 'interface' inside package before 'endpackage'. 'interface' inside 'package' is not allowed. 新人帖 attach_img 薛定谔的青蛙 2022-6-18 62194 bl19970906 2024-1-8 14:56
[求助] vcs编译命令——时间参数模型 BellaYang 2024-1-5 2324 BellaYang 2024-1-8 09:05
[求助] 提前结束仿真,导致fsdb文件用verdi打不开 新人帖  ...2 xsz_123 2023-11-1 12581 wupeijing654 2024-1-5 09:52
[求助] verdi查看信号波形问题 新人帖 Ellison 2023-12-2 3425 wupeijing654 2024-1-5 09:49
[求助] 为什么使用vcs仿真指定TESTNAME不管用? 新人帖 icstudywsq 2023-12-31 4322 wupeijing654 2024-1-5 09:39
悬赏 [求助] Ralgen启动报错 - [已解决] hjacky2010 2017-10-25 34073 江小白! 2024-1-4 23:03
悬赏 [求助] Cadence VIP eth有支持思科QSGMIII spec吗? 新人帖 - [悬赏 10 信元资产] clivia.cai 2023-12-28 2342 clivia.cai 2024-1-4 14:12
悬赏 [求助] virtuoso ADE环境下跑带system verilog代码的数模混仿 - [悬赏 500 信元资产] xinhunlei 2024-1-3 1375 孙莱维 2024-1-4 13:50
[求助] (lmgrd) Failed to open the TCP port number in the license. attach_img  ...23456..9 polaris456 2011-9-18 89111026 豇豆豆 2024-1-4 11:28
[求助] 求助各位大神吗?systemverilog非阻塞赋值问题 eason_yang 2024-1-3 2200 飞翔的马甲 2024-1-4 10:52
[求助] fork-join语句与$finish的使用问题 icstudywsq 2024-1-1 7331 unsinkableFF 2024-1-4 09:46
[求助] 请教如何设置S公司AXI Slave VIP的Read Interleave功能 新人帖 纾戈 2023-6-13 1649 bai252003 2024-1-3 22:50
[求助] axi vip发出exclusive access后monitor有报uvm_error,是否正确 枫舞 2023-12-1 1276 bai252003 2024-1-3 22:49
[求助] SNOPSYS AXI SLAVE VIP怎么设置outstanding? dragontail 2021-4-29 52508 bai252003 2024-1-3 22:42
[求助] axi vip中的outstanding 访问的问题 kor99 2023-3-23 61099 bai252003 2024-1-3 22:05
[求助] vcs编译报错make[1]: *** [Makefile:109: product_timestamp] Error 1 木木lingle 2023-7-12 41093 gaurson 2024-1-3 16:12
[求助] makefile 调用VCS问题 fygtu 2023-12-20 3316 fygtu 2024-1-3 10:54
[求助] 在if begin end块里的automatic变量是否在执行结束后就被释放  ...2 zhangdeshuai 2023-12-22 10388 zhangdeshuai 2024-1-2 15:12
[求助] 请问为什么VCS不能设置断点 attach_img 天蝎的尾巴i 2023-12-30 2363 天蝎的尾巴i 2023-12-30 21:50
[求助] 求助vcs2021安装包 新人帖 wupeijing654 2023-12-28 2304 wupeijing654 2023-12-30 19:38
[求助] ubuntu第一次使用vcs编译张强白皮书 我不是三毛 2018-5-20 44139 luciano 2023-12-29 14:14
[求助] 请问大家,为什么CPU或者MCU的验证,主要用C/C++而不是UVM或者systemverilog?  ...2 uunn69 2023-9-10 121130 houkeke 2023-12-29 13:56
[求助] VCS仿真中途内置断言报错 attach_img 解構 2023-12-28 2283 解構 2023-12-29 13:27
[求助] 断言覆盖率的收集 Distent 2023-12-26 3333 shaoqingtju 2023-12-29 10:38
[求助] simvision打开波形,状态机如何显示实际状态 attach_img  ...2 isliuhui 2021-11-2 165330 涟漪~ 2023-12-28 16:17
悬赏 [求助] 使用vcs进行PA仿真时出错【XMRE】 - [悬赏 36 信元资产] SGengiant 2023-12-28 0258 SGengiant 2023-12-28 16:07
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 09:47 , Processed in 0.021709 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块