在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6515|排名: 17 

[原创] 求一本存储器的书,3x shake2004 2023-7-4 1572 niuniu4 2023-7-5 09:47
[原创] 寻找一起进攻验证并互相帮助的朋友们  ...2 jessica_0518 2023-5-22 171724 大帅逼 2023-6-24 16:09
[原创] 如何保证验证平台的正确性  ...2 EDAStar 2021-10-29 134227 EDAStar 2023-6-8 15:03
[原创] 验证环境如何获取RTL的例化参数值(verrilog parameter) attach_img  ...2 买履人 2023-5-27 101463 买履人 2023-6-7 22:26
[原创] UVM和SYSTEMC混合仿真之UVMC组件本地调试记录 attach_img  ...23 飞翔的马甲 2021-8-20 258274 涂满 2023-5-29 17:24
[原创] 在读学生验证求教 新人帖 attach_img  ...2 tenandseven 2023-3-19 121901 sky啊哈 2023-5-24 16:57
[原创] 接一点私活,ic验证相关的 新人帖 小菜鸟cc 2023-5-12 0962 小菜鸟cc 2023-5-12 13:48
[原创] 芯片验证入门之常用问答搜索网址、编译工具、sv参考文档 牛逼龙的精神 2023-5-12 1880 牛逼龙的精神 2023-5-12 09:36
[原创] 兼职猎头合作伙伴招募 JoxD 2023-5-9 0680 JoxD 2023-5-9 15:21
[原创] 5年+senior验证工程师分享DV标准流程及验收标准,欢迎各位前辈指正。 attachment digest  ...23 seuzhangle 2020-11-19 218637 simpleplan 2023-5-9 14:00
[原创] questasim不能用-novopt yuanpin318 2023-4-27 0749 yuanpin318 2023-4-27 00:25
[原创] formality中遇到unmatch的问题,请高手指点下  ...23 小小xxl 2010-7-17 2420417 Ayala058 2023-4-23 10:54
[原创] 关于S家的jesd204 VIP的使用 新人帖 Jeff17 2023-4-20 2834 Jeff17 2023-4-21 16:09
[原创] 怎么把两个module level的uvm环境合并成一个chip level的环境? 赵家铁憨憨 2023-2-6 2994 赵家铁憨憨 2023-4-18 15:22
[原创] SV动态队列的$能否代表最小值 attach_img  ...2 ic_xiaosuan 2022-7-4 122966 simpleplan 2023-4-18 10:21
[原创] 小白入行IC验证 EdenYuan 2023-2-16 81487 ICstudent 2023-3-30 12:16
[原创] 求解,随机变量约束关系式  ...2 花伴星空 2023-3-8 102758 zhuimeng2020 2023-3-14 13:23
[原创] 绿皮书 attachment EdenYuan 2023-3-13 1965 student321 2023-3-13 19:44
[原创] 《UVM实战》24小时问答 attach_img digest  ...23456..43 doogo 2014-9-11 420123026 乒乓 2023-2-21 09:26
[原创] Linux下用convert将RGB/YCbCr格式输出的txt转JPG 新人帖 水风山月 2023-2-10 1724 水风山月 2023-2-10 11:12
[原创] 推荐几个干货公众号-ICer 2023年知识充电必备 maouncle 2023-2-8 0863 maouncle 2023-2-8 09:42
[原创] vcs merge 问题请教 新人帖 qdcy619 2023-1-4 21016 qdcy619 2023-1-11 11:58
[原创] Makefile中空变量的妙用 ajzcr 2016-9-4 43625 hsieh531 2023-1-1 22:00
[原创] vcs仿真中断,如何继续往下跑 neo.yi 2022-12-12 21320 saipolo 2022-12-13 12:52
[原创] 承接数字后端设计服务、流片服务 fish520 2022-11-25 0617 fish520 2022-11-25 14:17
[原创] 如何wait fork子进程的子进程 attach_img 许晴125 2022-11-2 81031 许晴125 2022-11-5 21:05
[原创] ic 验证 attachment wy12 2022-10-24 01237 wy12 2022-10-24 22:28
[原创] driver里面给信号赋值,为什么要用非阻塞<=呢  ...2 yueyuedong 2022-8-12 155041 qsh123_123 2022-10-19 14:56
[原创] exit - [售价 50 信元资产] 770498962 2022-10-6 21012 zxdr5 2022-10-11 20:22
[原创] 《UVM实战(卷1)》 学习笔记 attachment digest  ...23456..13 lshj98115 2016-4-25 12646615 Newstyle 2022-9-29 16:02
悬赏 [原创] UVM中AHB协议的搭建,如何在读写寄存器过程中控制hbrust、htrans、hsize 新人帖 - [悬赏 10 信元资产] attach_img q574640068 2022-8-17 61627 q574640068 2022-9-20 11:14
[原创] systemverilog打印队列内容 attach_img verify0906 2022-9-14 51627 fengzhiyong123 2022-9-15 09:20
[原创] sequencer和virtual sequencer的作用 attachment gerry1512 2022-9-11 31091 seabeam 2022-9-13 15:17
[原创] UVM中仿真器报错not registered with the factory 莽野孤狼 2017-12-17 45894 gerry1512 2022-9-12 16:46
[原创] 使用intel-Modelisim进行UVM仿真(Modelsim ASE版本) attach_img nannan666 2022-6-8 21464 suobin 2022-9-12 14:28
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:54 , Processed in 0.019217 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块