在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 1 |主题: 6518|排名: 13 

[原创] 关于uvm report机制 hbhbts 2014-5-30 46382 mianyang12345 2017-12-16 00:09
[原创] 转做模拟版图和数字后端  ...2 viitek 2016-5-4 114212 viitek 2017-11-26 13:19
[原创] 有没有用verilog写testbench的  ...2 edana 2017-11-23 103724 superman008 2017-11-25 20:03
[原创] 请教uvm的多进程设置?  ...23 atlandis 2017-10-30 216838 atlandis 2017-11-25 16:48
[原创] 在使用makefile时的问题 attach_img janezhan 2017-11-11 12046 janezhan 2017-11-11 22:30
[原创] sed和awk的简单学习笔记  ...2 lshj98115 2012-12-13 126968 wawejin 2017-11-6 11:01
[原创] issues installed under fedora 26 yuanpin318 2017-8-27 51582 yuanpin318 2017-11-3 10:18
[原创] Trial Run INCISIVE SOCV Kit attach_img  ...2 niulinux 2014-7-24 176657 Desingman 2017-10-22 17:45
[原创] 半导体测试基础资料(mixed, RF) attachment  ...2 gangersun 2011-5-9 124950 chen600 2017-10-19 17:31
[原创] 介绍混合信号测试方案 attachment  ...2 gangersun 2011-5-9 175758 chen600 2017-10-19 17:24
[原创] 刚学ic后端模拟版图设计,请各位大神帮助一下 attach_img 少说多笑 2017-9-26 11648 少说多笑 2017-9-26 17:48
[原创] 在questasim中使用vmm方法 attach_img  ...2345 tian53169 2010-1-8 4417823 zhangjunhbxf 2017-8-27 22:13
[原创] 请教case hung住的处理 atlandis 2017-8-15 81900 atlandis 2017-8-15 17:20
[原创] 请教set_black_box的设置 atlandis 2017-7-19 01265 atlandis 2017-7-19 17:41
[原创] modelsim10.0d 混合编译syetem verilog和c代码时错误更正 attachment guolehaohao 2013-8-19 33933 tezhi 2017-7-17 21:42
[原创] SV里program block的作用? 和module 有什么不同? flow2000a 2017-5-8 54301 defera 2017-6-21 17:09
[原创] cpu验证求助 liu20081226 2017-6-12 21903 卡莫拉内西 2017-6-13 16:56
悬赏 [原创] HSPICE中用VEC文件的问题 - [悬赏 70 信元资产] attachment husi2010 2011-2-27 710702 charle_song 2017-5-12 12:54
[原创] 请问VCS支持分布式运行吗?如果设计足够大,会不会因为内存不足,仿真不起来? myhope0928 2017-4-20 11668 myhope0928 2017-5-7 20:55
[原创] 集成电路设计完整流程工具链 digest  ...2 jchwei 2015-10-6 115034 努力的小七 2017-5-2 13:14
[原创] Cadence incisiv10.20的Crack方法分享 attach_img  ...234 chenfengrugao 2012-7-4 3615496 vasa 2017-4-19 13:16
[原创] 将Primitive 转为Verilog描述 jianway 2013-2-25 12344 ralphtwtw 2017-4-6 11:55
[原创] 将Primitive 转为Verilog描述 补附件 attachment jianway 2013-2-25 21897 ralphtwtw 2017-4-6 11:53
[原创] DVCon中国区会议征文 acgoal 2016-9-1 12533 dubu113 2017-4-1 01:39
悬赏 [原创] 借SolvNet账号 - [悬赏 300 信元资产] zeronet 2016-12-30 12900 zeronet 2017-1-27 04:18
[原创] uvm验证方法学源代码剖析  ...23456 liu_uestc 2011-10-24 5425067 yyaimm 2017-1-12 22:04
[原创] ovm里面的信号可以加到verdi里面看波形吗?  ...2 ssss1983 2011-12-22 126773 zhangjunxf 2017-1-7 16:20
[原创] 哪位大神指导一下寄存器版图验证时的网表 attach_img 飞啊飞2 2017-1-3 22021 zhaoshiyu 2017-1-4 11:36
[原创] 求助,VCS仿真器仿真时出现一个bug如图,该怎么解决 attachment  ...2 ld1009 2016-12-20 103325 lx2116 2016-12-24 10:52
[原创] 直接call factory method的疑问 ltshan 2016-12-23 22011 ltshan 2016-12-23 12:20
[原创] randomize失败 ltshan 2016-12-21 42400 lawa 2016-12-22 09:30
[原创] 芯片验证工程师的薪水  ...23456..20 strug 2010-1-21 19263945 haiyi198712 2016-12-5 11:24
[原创] Specman Elite v5.0 with crack 唐龙 2012-3-18 12281 huatiantian 2016-11-26 13:17
[原创] UVM 中对reg_model进行read操作不成功 qingfengwj10 2016-11-16 12170 qingfengwj10 2016-11-16 11:34
[原创] UVM使用irun编译的时候报错,错误标示为 UNDIDN ,这个是什么错误?求大神指导 ic老菜鸟 2016-11-16 01173 ic老菜鸟 2016-11-16 09:39
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 16:35 , Processed in 0.021141 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块