在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (87) |订阅

IC验证讨论 今日: 3 |主题: 6524|排名: 22 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
[原创] 《UVM实战》24小时问答 attach_img digest  ...23456..43 doogo 2014-9-11 420123580 乒乓 2023-2-21 09:26
给想我一样sv初学者一个进阶vmm的好例子 attachment  ...23456..40 gordonyanyan 2009-7-5 39457751 UncleZhang13 2023-3-27 09:24
[原创] 验证漫谈 digest  ...23456..21 rhythm1988 2011-7-1 20592305 Rohau 2018-9-7 20:54
[原创] 我对验证的一些理解 digest agree  ...23456..15 lshj98115 2012-1-7 14473419 Guiyx 2023-12-18 15:24
[讨论] 基于IEEE1801(UPF)标准的低功耗设计实现流程 attachment  ...23456..16 hopeman 2010-8-6 15961236 gychen1993 2024-4-12 10:18
[原创] 自己用UVM搭建的apb+reg的完整环境,给大家做做参考! attach_img  ...23456..15 see01995 2013-9-22 14743533 wxqy_anita 2020-12-30 12:39
[原创] UVM phase的用法研究------个人总结 digest  ...23456..17 asic_wang 2013-3-19 16185996 shangxinchao 2021-10-2 10:06
设计就是验证,验证就是设计 - humann的感悟 digest  ...23456..27 humann 2009-1-16 26682899 joomlama 2022-5-18 15:49
验证方法学(vmm, ovm, e, vera, systemc ...)的市场占有率调查报告 attachment  ...23456..24 humann 2009-2-18 23642950 MOFANA 2014-10-20 13:05
看看台积电的老张头是怎么成功的 attachment  ...23456..27 ksj116 2009-10-11 26645334 trancongha 2019-2-26 17:57
[原创] 《UVM实战(卷1)》 学习笔记 attachment digest  ...23456..13 lshj98115 2016-4-25 12646917 Newstyle 2022-9-29 16:02
[原创] 芯片验证工程师的薪水  ...23456..20 strug 2010-1-21 19264293 haiyi198712 2016-12-5 11:24
[原创] windows 7 下modelsim 10.0d配置UVM_1.1d attach_img  ...23456..11 guolehaohao 2013-8-17 10937647 long220822 2019-6-29 14:38
[转贴] 为什么国内IC这么烂,没几家好公司呢  ...23456..9 tjhaua 2010-11-13 8622417 tomtom200 2013-1-11 23:26
不错的问题:学好验证的基本功,首先弄清楚以下几个问题!  ...23456..16 pkulafang 2009-11-15 15436424 Dean_HJ 2021-8-19 09:31
[原创] 如何搭建SoC项目的基本Testbench(我的流程) digest  ...23456..9 lshj98115 2014-4-17 8447471 Vincent_Chen 2024-1-17 10:17
[原创] 教会您对questasim的深度学习 systemverilog,questasim,tcl学习例子 attachment  ...23456..9 ligang1986718 2013-12-21 8624915 zbh_xue 2020-9-28 10:54
[求助] Cadence IUS92 的LICENSE问题 attachment  ...23456..9 chen.terry 2013-5-9 8643435 war3_calvin 2023-2-25 22:46
[原创] UVM/OVM中的factory---------个人总结 digest  ...23456..10 asic_wang 2014-7-9 9954746 eaglezhang01 2024-4-4 15:40
[资料] UVM快速学习教程 attachment  ...23456..9 lyyss 2016-1-29 8322962 1845287464 2023-1-11 17:19
[资料] SystemVerilog Verification UVM 1.1 Lab Guide attachment  ...23456..8 zfx19890921 2015-5-11 7819582 爱在太空 2019-10-13 11:06
[求助] synopsys VCS 2009 VD版本和MX版本的区别,哪个版本更常用?  ...23456..12 wangpaiking 2011-5-27 11943242 uptorich 2023-7-18 08:50
[求助] (lmgrd) Failed to open the TCP port number in the license. attach_img  ...23456..9 polaris456 2011-9-18 89111978 豇豆豆 2024-1-4 11:28
[讨论] windows下questasim 10.1c 编译uvm attachment  ...23456..7 yangxb303 2013-3-20 6621070 find_search 2017-10-24 17:00
[原创] 验证那些事 attach_img digest  ...23456..9 superman008 2017-11-25 8534268 xiaozhou31 5 天前
[求助] IC验证工作的前景怎么样?同芯片设计相比较怎么样,求助  ...23456 huobaihua 2011-8-14 5942394 rnistake 2018-3-27 11:19
[求助] 跪求启芯工作室关于UVM和systemverilog的视频 attach_img  ...23456..8 yyff530 2017-7-12 7936647 kkw 2022-1-13 09:33
[讨论] verdi-201210 能看UVM中验证模块的波形不? attachment  ...23456..7 DZ小亮 2013-1-7 6529408 steven_sun 2021-8-17 07:42
[资料] vcs上手vmm心得 attachment digest  ...23456 zjydz_2008 2012-10-29 5525019 husthxing 2019-11-10 15:02
[原创] 实践systemverilog, UVM, python, perl, C++代码的好地方  ...23456 mayzhao 2015-2-2 5724452 mienj 2019-11-28 11:36
[解决] 关于后仿真中SDF文件的hold time的负值问题(已解决供参考) attach_img  ...23456 zeushuang 2013-1-17 5633136 热爱IC的小白 2023-5-30 15:07
[原创] 所有版本的ubuntu安装ic5141的方法 attachment  ...23456 yeyujingyue 2013-4-19 5723015 knightrideric01 2021-4-12 20:06
[原创] VCS 2008的安装经历 attachment  ...23456..8 guofu2010 2010-1-24 7625849 roomby 2018-3-16 10:12
[讨论] 做几年验证能不能转做设计?  ...2345 jackiexiuyi 2010-10-7 4816787 xuhaoee 2013-11-11 12:24
[原创] 个人意见:ASIC 前端功能验证等级与对应年薪划分  ...23456..12 zhhzhuawei 2010-3-13 11136628 kang.kang 2020-3-12 16:07
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 19:42 , Processed in 0.029151 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块