在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (86) |订阅

IC验证讨论 今日: 3 |主题: 6491|排名: 24 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) jackzhang 2024-3-21    
[原创] 《UVM实战》24小时问答 attach_img digest  ...23456..43 doogo 2014-9-11 420121595 乒乓 2023-2-21 09:26
[原创] 验证漫谈 digest  ...23456..21 rhythm1988 2011-7-1 20591159 Rohau 2018-9-7 20:54
[原创] 芯片验证工程师的薪水  ...23456..20 strug 2010-1-21 19263153 haiyi198712 2016-12-5 11:24
[原创] UVM phase的用法研究------个人总结 digest  ...23456..17 asic_wang 2013-3-19 16185171 shangxinchao 2021-10-2 10:06
[原创] 自己用UVM搭建的apb+reg的完整环境,给大家做做参考! attach_img  ...23456..15 see01995 2013-9-22 14742690 wxqy_anita 2020-12-30 12:39
[原创] 我对验证的一些理解 digest agree  ...23456..15 lshj98115 2012-1-7 14472670 Guiyx 2023-12-18 15:24
[原创] 《UVM实战(卷1)》 学习笔记 attachment digest  ...23456..13 lshj98115 2016-4-25 12646175 Newstyle 2022-9-29 16:02
[原创] 个人意见:ASIC 前端功能验证等级与对应年薪划分  ...23456..12 zhhzhuawei 2010-3-13 11135885 kang.kang 2020-3-12 16:07
[原创] windows 7 下modelsim 10.0d配置UVM_1.1d attach_img  ...23456..11 guolehaohao 2013-8-17 10936975 long220822 2019-6-29 14:38
[原创] UVM/OVM中的factory---------个人总结 digest  ...23456..10 asic_wang 2014-7-9 9953964 eaglezhang01 2022-1-20 21:41
[原创] 教会您对questasim的深度学习 systemverilog,questasim,tcl学习例子 attachment  ...23456..9 ligang1986718 2013-12-21 8624507 zbh_xue 2020-9-28 10:54
[原创] 如何搭建SoC项目的基本Testbench(我的流程) digest  ...23456..9 lshj98115 2014-4-17 8446806 Vincent_Chen 2024-1-17 10:17
[原创] 验证那些事 attach_img digest  ...23456..9 superman008 2017-11-25 8333506 cfz123 昨天 14:48
[原创] VCS 2008的安装经历 attachment  ...23456..8 guofu2010 2010-1-24 7625382 roomby 2018-3-16 10:12
[原创] 所有版本的ubuntu安装ic5141的方法 attachment  ...23456 yeyujingyue 2013-4-19 5722733 knightrideric01 2021-4-12 20:06
[原创] 实践systemverilog, UVM, python, perl, C++代码的好地方  ...23456 mayzhao 2015-2-2 5724078 mienj 2019-11-28 11:36
[原创] uvm验证方法学源代码剖析  ...23456 liu_uestc 2011-10-24 5424832 yyaimm 2017-1-12 22:04
[原创] cadence upf低功耗流程的仿真验证 attachment  ...23456 jyaray 2015-9-17 5421875 luofei2021 2022-6-28 09:00
[原创] 5年验证经历如何发展  ...23456 qingyou28 2010-6-1 5316186 coolwind1988 2011-8-8 17:28
[原创] 一个UPF低功耗仿真的简单例子 attach_img  ...23456 saipolo 2016-3-15 5125374 cmmjava 7 天前
[原创] 让验证回归本质 新人帖 attach_img digest  ...23456 superman008 2020-11-2 5132485 erwang 2023-12-18 08:47
[原创] v c s 2016&v e r d i2016安装及调试总结(教程) attach_img  ...2345 飞翔的马甲 2021-2-19 4914411 longfeix86 2024-3-18 10:06
[原创] 面试验证工程师被问“如果设计工程师冲你拍桌子”你能忍吗  ...2345 shuke 2010-2-2 4814767 mmj109 2019-7-22 08:24
[原创] Calibre(SVRF) attachment  ...2345 xiao_sd 2023-5-25 485550 sylvia1688 前天 16:34
[原创] Cadence NC/Incisiv里做Functional Coverage (UVM) 分享 attachment  ...2345 chenfengrugao 2012-7-27 4629054 summeryang 2021-9-8 10:56
[原创] 华为_生产ESD培训教材 attachment  ...2345 linjun108 2010-6-20 4510348 duguhong 2018-9-1 13:42
[原创] 在questasim中使用vmm方法 attach_img  ...2345 tian53169 2010-1-8 4417522 zhangjunhbxf 2017-8-27 22:13
[原创] 哪位解释一下:synopys VIP/vmm/vmt/vera/rvm这几个技术的联系啊  ...234 阿基里奥斯 2010-1-16 3817159 freeren_liming 2016-1-4 10:51
[原创] 我的天呐,做芯片验证的薪水真的要超过风投吗?  ...234 zhhzfang 2017-10-30 3718968 saipolo 2021-1-31 22:58
[原创] Cadence incisiv10.20的Crack方法分享 attach_img  ...234 chenfengrugao 2012-7-4 3615257 vasa 2017-4-19 13:16
[原创] 我的验证之路  ...234 sailingoal 2018-4-2 3311607 icewindqz 2020-6-22 01:14
[原创] 请问:如何对数字IC验证搭建测试平台和环境  ...234 winzi 2010-12-7 3211250 鑫大星 2014-12-22 15:53
[原创] 有没有好一点的systemverilog入门的例子,推荐一下  ...234 qiantianyiqian 2011-6-5 3218680 asparagus 2016-8-2 13:42
[原创] VCS和Verdi的安装包和license破解步骤2  ...234 WHY_100 2017-2-21 3118896 nuaacc 2023-9-7 19:41
[原创] 费劲周折终于setup起来了vcs和mvtools attach_img  ...23 pfw3001 2013-3-27 2916312 hanxiong99 2021-6-25 13:58
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:35 , Processed in 0.021312 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块