在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (86) |订阅

IC验证讨论 今日: 0|主题: 6490|排名: 24 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 存算一体芯片(忆阻器)发展与测试挑战|4月12日直播报名(免费) jackzhang 2024-3-21    
[其它] 第一章 SystemC基础知识(一) attach_img  ...23 saipolo 2016-3-31 2312616 simpleplan 2023-10-23 17:28
[其它] 使用python定制开发IC工具  ...23 tyxuanyuanlx 2015-10-29 219017 pwy122 2020-10-20 16:10
[其它] 时钟综合 attachment  ...2 make2405 2010-6-29 184971 volcky2001 2010-11-2 21:08
[其它] 新书上市<SystemVerilog与功能验证>赠书热抢! attach_img  ...2 bendrift 2010-9-26 187132 飞扬紫百合 2012-3-28 22:39
[其它] ovm学习的一点小积累  ...2 silencefpga 2012-4-25 109329 liu11shaw 2016-6-9 14:27
[其它] 我建了一个数字IC验证交流群 新人帖  ...2 紫薯布丁 2023-9-15 101174 kttle 3 天前
[其它] SV学习笔记 新人帖 fengduzhuo 2020-6-4 94283 fengduzhuo 2020-6-18 16:41
[其它] 怎么样让verdi拉出的波形状态机信号显示parameter名字 Emmayi 2020-9-15 96827 masscqz 前天 16:00
[其它] 本来就穷,还给骗了不少信元。。。。 lijunping 2011-5-12 82693 清霜一梦 2015-9-2 16:12
[其它] 关于SV中mailbox的问题 decade 2010-1-9 613215 darkdolls 2011-8-17 01:20
[其它] 一个IC失效分析论坛,非常不错 xiaxiaowu110 2010-2-2 69249 chenshi811 2011-4-4 10:02
[其它] 请问在soc芯片中如何对硬核进行验证? gavinc 2010-11-14 62750 qq847941010 2011-3-13 16:48
[其它] IC验证公众账号推荐 attach_img tyxuanyuanlx 2016-6-29 53493 nanfeng237 2017-8-8 17:46
[其它] 第一章 SystemC基础知识(二) attach_img saipolo 2016-4-3 34211 weidadewei 2020-2-16 11:20
[其它] 我建了一个SVRF学习交流群 新人帖 孤星寒 2023-11-15 2414 季老的猫 前天 16:41
[其它] ??????????? vmmovm 2010-6-15 02191 vmmovm 2010-6-15 08:19
[其它] 关于test_protocol问题 gaokl1005 2011-12-29 02088 gaokl1005 2011-12-29 09:35
[其它] 求书 SystemC电子系统级设计 liuyongchong 2014-3-15 02629 liuyongchong 2014-3-15 13:15
[其它] ic免费资料,和大家分享 attach_img eguang190 2014-12-28 01811 eguang190 2014-12-28 21:16
[其它] 无用帖 秦一1994 2016-10-29 01914 秦一1994 2016-10-29 14:27
[其它] 验证入门 wenhuajianyuan 2018-5-24 02098 wenhuajianyuan 2018-5-24 20:46
[其它] 车规域控芯片公司招聘SoC验证总监 amousea 2022-3-8 01191 amousea 2022-3-8 15:56
[其它] MS8605替代AD8605;MS8606替代AD8606 attach_img XBW18025319604 2022-4-20 01127 XBW18025319604 2022-4-20 22:42

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 06:01 , Processed in 0.017740 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块