在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (124) |订阅

后端资料区 今日: 0|主题: 5683|排名: 7 

[资料] CIC_DFT培训资料(使用DFT Compiler and TetraMax) attachment  ...23456..19 strivenbu 2010-10-14 18130056 darlingwqm 6 天前
[求助] 关于整合版的tetramax的使用方法 attach_img  ...2 398144753 2014-12-14 188446 darlingwqm 6 天前
[资料] Synopsys® Multivoltage/Low Power Flow User Guide.2019.12  ...23456..20 qtds1258 2022-7-25 1949752 berry2 6 天前
[资料] cdl语法文档 attachment Ashanner 2023-8-10 7865 菜鸟一号 7 天前
[原创] IC_Compiler_II 2018 Workshop & Student lab guide -2018 attach_img  ...23456..16 何军民 2021-5-14 15419832 a_door 7 天前
[资料] Innovus 18.1 Clock Tree Synthesis (CTS)  ...23456..8 qtds1258 2022-7-25 735339 cym_semico 7 天前
[原创] Cadence QRC 10.1 文档 attachment  ...23456..8 icfbicfb 2011-8-19 7830250 18701476997 7 天前
[求助] finesim混仿 attach_img 打不出空白 2024-4-16 7162 打不出空白 7 天前
[原创] DDR5/4 PHY V2 attach_img  ...23456..14 iamtony 2022-2-5 13010027 刘洋Elephant 7 天前
[资料] Calibre 中文教程(我所有的Calibre 资料了) attach_img  ...23456..85 strivenbu 2010-1-24 84998826 nable008 7 天前
[资料] DC中文lab教程 attachment  ...23 杨星辰 2020-9-21 245561 nable008 7 天前
[原创] laker詳細的教學ptt attachment  ...234 abc970077 2018-2-7 338266 sylvia1688 7 天前
synopsys primetime中文教程 attachment  ...23456..50 rdj110 2009-5-7 49967861 luiswu 2024-4-17 19:50
悬赏 [求助] 求S家 Raphael 使用手册 - [悬赏 500 信元资产] jchdl_ic 2024-4-11 1141 yizhen 2024-4-17 17:31
[资料] Redhawk中英文手册 attachment  ...234 stefenxp 2023-6-1 302945 yizhen 2024-4-17 17:30
[求助] 想问一下大佬关于PR流程中Density的问题 新人帖 kwp66612 2024-1-22 3382 aqiqiqiqi 2024-4-17 16:04
[资料] formality之lab训练 attachment  ...23 1027199631 2016-1-20 235432 keitherss 2024-4-17 15:09
[原创] 伟大的IP列表在这里 attachment  ...23456..16 eda2022 2022-7-13 15713769 xxso 2024-4-17 09:14
[资料] UPF low power flow dc lab attach_img  ...23456..10 刺客无痕 2019-7-1 9220816 AlexS 2024-4-16 23:08
[原创] 112G VSR Serdes PHY ipseller 2023-8-27 1783 s小朱无敌 2024-4-16 20:24
[资料] 综合与时序分析的设计约束 attach_img  ...23 yueqingquan 2022-12-28 202407 huangtom1 2024-4-16 16:49
[求助] 求分享skipper安装包和和谐方法 wtt123 2024-4-16 096 wtt123 2024-4-16 16:48
[资料] innouvs log解读 ,适合新手吧,需要的自取  ...2 lxy1915117081 2023-6-25 10988 大嘴人 2024-4-16 14:16
[资料] 伟大的IP attach_img  ...23456..66 eda2022 2022-7-2 65124604 IFANR_1 2024-4-16 13:17
[资料] 不错的数字芯片设计资料(数字IC) attachment  ...2 zhuxi522 2023-6-1 121656 品博锦取_2021 2024-4-16 11:14
[转贴] SMIC 0.18um 数字电路 standard单元库和IO单元库 attachment  ...23456..29 B40514066 2010-10-20 28861583 mihoyo 2024-4-16 10:49
[原创] 2017最新版Cadence 集合 成功安装IC617 MMSIM151 EXT161 Calibre 2017 INNOVUS162 等 attachment  ...23456..22 GarryTse 2017-5-5 21383026 r102569 2024-4-16 10:23
TSMC90nm工艺 attachment  ...23456..29 南屏旧桥 2019-5-19 28137159 so85117 2024-4-15 17:43
[资料] 台湾IC后端设计讲义 attach_img  ...23456..111 kim6202 2012-12-2 110790345 yan0708 2024-4-15 17:26
[原创] 自己常使用的一些tcl脚本,可以共同学习下 attachment  ...23456 liu121zhe 2013-4-7 5016259 yan0708 2024-4-15 17:25
[资料] 分享Redhawk_GUI使用手册 attachment  ...234 macal 2021-4-15 326437 chpen 2024-4-15 16:50
[资料] Low-Power Design and Power-Aware Verification attach_img  ...23456..11 sg20067701 2019-3-10 10522487 risccpu 2024-4-15 16:35
[资料] Innovus Text Command Reference Product Version 23.10 attachment zpofrp 2024-4-15 1138 qibaojin 2024-4-15 15:45
[资料] 最新innovus workshop 中文版,赶紧下载!!! attachment  ...23456..10 兔子IC 2022-9-8 909500 so85117 2024-4-15 15:43
[原创] PCELL中contact平均分布实现方法 attach_img  ...2 sinoyin 2020-3-18 124875 duke888 2024-4-15 15:18
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 09:33 , Processed in 0.016808 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块