在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 2 |主题: 6525|排名: 29 

[原创] 摄像机马达驱动芯片 MS41928M现货,内置光圈控制 xbwzwx 2023-9-8 0330 xbwzwx 2023-9-8 17:27
[原创] VCS和Verdi的安装包和license破解步骤2  ...234 WHY_100 2017-2-21 3119264 nuaacc 2023-9-7 19:41
[求助] sv语法 tqtql 2023-9-7 0412 tqtql 2023-9-7 16:05
[求助] synopsys pcie vip源码怎么看? fengzhiyong123 2023-9-7 1667 chip123chip123 2023-9-7 13:57
[求助] 请问dvt-eclipse 21.1.41出现gtk不兼容,闪屏,怎么解决? liqunxiong 2022-12-10 41213 999 2023-9-6 20:19
[原创] verdi caoluming 2021-8-9 98798 huiyi5210 2023-9-6 11:05
[求助] 使用Formality对比两版rtl,fail的原因?如何debug? 新人帖 伊凡正在学习IC 2023-9-5 1766 温柔阴影 2023-9-6 10:08
[原创] uvm 编译报错问题 zhouyunlu 2020-8-31 95663 JennyLi 2023-9-5 15:52
[求助] 求助!XA对SPI文件仿真如何使用模拟信号激励(或者说VEC文件和VCD文件如何描述模拟信号) 新人帖 attachment Marvince 2020-7-26 63243 931692159 2023-9-5 09:38
[求助] 求助大佬关于pcie的msi中断没收到 白黑凤梨 2023-9-4 0393 白黑凤梨 2023-9-4 17:50
[求助] uvm的重载能否找到成员变量 a1872040489 2023-2-5 91107 a1872040489 2023-9-3 18:10
[原创] 问题求助 attach_img jessica_0518 2023-9-2 0385 jessica_0518 2023-9-2 16:40
[解决] driver里面的变量赋值给vif里的变量即可 became_an_icer 2023-8-30 2505 花伴星空 2023-8-31 11:03
[求助] mbist模型文件masis 人生百态 2023-8-31 0497 人生百态 2023-8-31 10:51
[求助] 请教关于后仿netlist的debug问题 shirelee 2023-8-29 4515 shirelee 2023-8-31 09:15
[招聘] base成都 资深soc验证职位 薪资open Tommy22333 2023-8-7 4665 AnsonTANG 2023-8-30 16:20
[求助] 请教大家在验证过程中会带PMIC一起跑吗 shirelee 2023-8-24 3475 gaurson 2023-8-29 18:12
[求助] Cadence SoundWire VIP 使用求助 Distent 2023-1-3 3943 daniel_cchou 2023-8-29 16:09
[求助] 关于UVM中callback机制使用的一点小问题 新人帖 attach_img 解構 2023-8-29 0459 解構 2023-8-29 14:25
[求助] ncvlog error--module name too long attach_img haier822 2016-8-18 33341 猪孩宝宝 2023-8-28 14:52
[求助] MIPI DPHY 的verilog 行为级模型设计(总线冲突的处理) wuzl423 2019-3-25 62849 jjm_997 2023-8-28 11:33
[求助] 如何将覆盖点中的仓对应情况的波形调出来查看呢? 木木lingle 2023-8-24 2423 Distent 2023-8-28 11:30
[解决] verdi可以对同一个fsdb文件,打开多个波形窗口来添加信号吗? attach_img uzljuljz 2023-8-24 3583 uzljuljz 2023-8-27 23:07
[解决] vcs的simv无法成功运行  ...2 randolpha 2021-2-6 157605 huaximilk 2023-8-27 12:37
[解决] UVM寄存器模型的后门访问路径设置 新人帖 chip_z 2020-8-22 95963 koss123 2023-8-24 21:37
[求助] Synopsys DDR4-PHY的training失败 新人帖 weigang_8099 2021-10-23 71867 Fireflyzt 2023-8-24 20:50
[原创] enentually 和 s_eventually编译报错 sccedi 2022-12-1 1859 litengmu64 2023-8-24 15:44
[求助] 问一个简单的工具操作问题,如何在verdi中添加多个“刻度辅助线” attach_img sages 2013-7-25 96640 sky啊哈 2023-8-24 14:27
[求助] 问大佬有做过DW apb I2C 模块的吗? 追乐人66 2022-3-16 21482 Guiyx 2023-8-23 17:52
[求助] 关于verdi加载单一信号慢的问题 tjz1127 2023-8-23 1385 tjz1127 2023-8-23 17:23
[求助] 关于USB Device PowerOn Reset oscillator_cn1 2023-3-24 2932 Xunuoo 2023-8-23 11:37
[原创] uvm一个广泛流传的错误例子 erwang 2022-12-23 71676 Xunuoo 2023-8-23 11:32
[求助] xmvlog: *E,NOTSTT : expecting a statement [9(IEEE)]. 杨玉权 2021-7-23 34800 milanotang 2023-8-22 11:38
[求助] top层为什么setcfg可以在run_test之前 zhuimeng2020 2023-8-21 2448 空白MAX 2023-8-21 18:37
[求助] irun中怎么禁止显示ASSERT/WARNING ic小新 2015-1-8 85102 IC闲人 2023-8-21 15:47
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 07:54 , Processed in 0.026861 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块