在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (86) |订阅

IC验证讨论 今日: 0|主题: 6490|排名: 24 

[求助] 请教大神VCS_2009启动的问题 wuliang0801 2013-12-1 21830 remnant 2013-12-3 22:34
[求助] mailbox与channel的区别 joeljun 2013-11-29 21434 nihaoyamaha 2013-12-3 16:02
[求助] 关于VCS。。给跪了。求指教  ...2 duhanyu0516 2012-4-3 124772 xinmeng6393 2013-12-2 10:32
[解决] 关于OTN协议里的SDH问题 joeljun 2013-11-29 11540 A1985 2013-11-29 17:16
[统计] 做FPGA待遇问题 joeljun 2013-11-29 11679 litterstrong 2013-11-29 15:50
[求助] 【求助】marvell的system validation职位,到底做什么? helim 2013-10-25 41984 joeljun 2013-11-29 14:59
[求助] 看来这里的人水平都很差!求助:vmm_notify的indicate方法  ...2 asic_wang 2011-8-24 116498 joeljun 2013-11-29 14:46
[讨论] dc存储文件 767616852 2013-11-25 11462 joeljun 2013-11-29 13:50
求CF卡的仿真模型 lengyc 2008-9-6 73543 wmm123 2013-11-28 18:17
[求助] 我的verilog文件和库文件不匹配 767616852 2013-11-28 01149 767616852 2013-11-28 15:43
[原创] irun 仿真结束时finish(1)和finish(2)具体指什么,有什么不同? litterstrong 2013-11-28 42156 litterstrong 2013-11-28 14:39
悬赏 [求助] SolvNet账号借用 - [悬赏 100 信元资产] never_lazy 2013-4-26 36806 ahc 2013-11-27 19:28
[讨论] 请教下大家,怎么才能提高验证水平?  ...23 rockyf16 2012-9-14 205844 luluchn 2013-11-27 14:41
[求助] uvm1.1 使用VCS验证,如何设置 george.chen 2013-11-25 52830 A1985 2013-11-25 22:22
[求助] assertion 问题 yangkaiqu 2013-11-24 52588 yangkaiqu 2013-11-25 13:58
[讨论] 招聘模拟设计工程师 sitaidi 2013-11-22 01484 sitaidi 2013-11-22 16:17
[求助] uvm_do和start,finish crazylk 2013-11-22 11418 A1985 2013-11-22 15:44
[原创] lock和grab crazylk 2013-11-21 02082 crazylk 2013-11-21 17:00
[求助] 虚接口的问题 大暗黑天 2013-11-7 11545 vivikinghjf 2013-11-20 21:59
[求助] 跪求Questasim10.1c/b/a 32 bit 安装文件及crack文件 darkdolls 2013-3-3 52243 levin.lg 2013-11-20 21:47
[求助] ESD仿真 673741088 2011-8-7 52400 mcm908911 2013-11-19 16:11
[求助] 关于FPGA学习问题 guojunzi 2013-11-19 01446 guojunzi 2013-11-19 12:12
[求助] Active Filter的軟件 attachment xxxx8xxxx 2013-11-18 11413 ddxx 2013-11-18 17:45
[求助] Bluetooth 怎樣在中國拿Cert? xxxx8xxxx 2013-11-18 01404 xxxx8xxxx 2013-11-18 14:35
[求助] 请教 关于VCSdump波形的问题 attach_img liangxiaowu 2013-11-15 11989 liangxiaowu 2013-11-18 09:10
[求助] ncverilog 如何仿真pla文件 wldjy 2013-11-4 21982 Gary.wang 2013-11-16 13:16
[原创] 求教双向PAD的高阻态问题。急~ darin_l 2013-11-5 73749 大暗黑天 2013-11-14 21:38
[求助] 时钟接口实例化问题 sofan 2013-11-14 11581 geffory 2013-11-14 15:24
[讨论] 做几年验证能不能转做设计?  ...2345 jackiexiuyi 2010-10-7 4816521 xuhaoee 2013-11-11 12:24
[求助] 使用DC时lmgrd问题 767616852 2013-11-7 12039 大暗黑天 2013-11-7 22:59
[讨论] verdi的皮肤或面板,如何换成经典的面板呢? hgd2zp 2013-11-7 01542 hgd2zp 2013-11-7 11:53
[求助] modelsim的后仿真问题 a7126317 2010-5-24 86152 taku2006 2013-11-6 22:45
[求助] 做DC compiler 时出现了,这儿问题 767616852 2013-11-6 11652 A1985 2013-11-6 12:04
[求助] verdi中能否查看哪些信号是被force过的? yifengvic 2013-11-1 12250 duge0413 2013-11-5 23:56
[求助] 发光解析 js_shen 2013-11-5 01589 js_shen 2013-11-5 20:29
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 00:09 , Processed in 0.030032 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块